日韩成人黄色,透逼一级毛片,狠狠躁天天躁中文字幕,久久久久久亚洲精品不卡,在线看国产美女毛片2019,黄片www.www,一级黄色毛a视频直播

模數(shù)轉(zhuǎn)換器的仿真方法和裝置的制造方法

文檔序號:10624968閱讀:517來源:國知局
模數(shù)轉(zhuǎn)換器的仿真方法和裝置的制造方法
【專利摘要】本發(fā)明公開了一種模數(shù)轉(zhuǎn)換器的仿真方法和裝置,其中,該方法包括:接收用戶輸入的器件參數(shù);調(diào)用預(yù)先配置的模數(shù)轉(zhuǎn)換器的多種器件參數(shù)與模數(shù)轉(zhuǎn)換器的輸出結(jié)果之間的相對變化關(guān)系;基于輸入的器件參數(shù)以及調(diào)用的變化關(guān)系,對模數(shù)轉(zhuǎn)換器進(jìn)行仿真。本發(fā)明通過根據(jù)輸入的器件參數(shù)以及器件參數(shù)對模數(shù)轉(zhuǎn)換器輸出的影響對模數(shù)轉(zhuǎn)換器進(jìn)行仿真,使得仿真的過程能夠考慮到模數(shù)轉(zhuǎn)換器本身的性能,從而使仿真更加準(zhǔn)確、客觀。
【專利說明】
模數(shù)轉(zhuǎn)換器的仿真方法和裝置
技術(shù)領(lǐng)域
[0001] 本發(fā)明設(shè)及電路仿真領(lǐng)域,并且特別地,設(shè)及一種模數(shù)轉(zhuǎn)換器(ADC)的仿真方法 和裝置。
【背景技術(shù)】
[0002] 模數(shù)轉(zhuǎn)換器在信號處理系統(tǒng)中是必不可少的器件,其功能是將時域和幅度上都連 續(xù)變化的模擬信號轉(zhuǎn)換為時間上離散、幅值上量化的等效數(shù)字信號。建立能夠正確描述ADC 性能參數(shù)的仿真模型可W預(yù)先對系統(tǒng)中可能出現(xiàn)的問題作出分析,從而提出解決方案,提 高了設(shè)計效率。
[0003] 在ADC建模仿真方面,已經(jīng)有人進(jìn)行了研究工作,并取得了一定的成果。但是,ADC 是典型的混合信號系統(tǒng),而傳統(tǒng)的建模和仿真方法是基于SPICE,該方法只能進(jìn)行晶體管級 建模,不支持對數(shù)字系統(tǒng)的行為級描述,因此仿真模型比較復(fù)雜耗時。
[0004] 針對相關(guān)技術(shù)中對于模數(shù)轉(zhuǎn)換器建模和仿真較為的問題,目前尚未提出有效的解 決方案。

【發(fā)明內(nèi)容】

[0005] 針對相關(guān)技術(shù)中的問題,本發(fā)明提出一種模數(shù)轉(zhuǎn)換器的仿真方法和裝置,能夠使 得對模數(shù)轉(zhuǎn)換器的仿真更加準(zhǔn)確、客觀。
[0006] 本發(fā)明的技術(shù)方案是運(yùn)樣實現(xiàn)的:
[0007] 根據(jù)本發(fā)明的一個方面,提供了一種模數(shù)轉(zhuǎn)換器的仿真方法。
[0008] 根據(jù)本發(fā)明的模數(shù)轉(zhuǎn)換器的仿真方法包括:接收用戶輸入的器件參數(shù);調(diào)用預(yù)先 配置的模數(shù)轉(zhuǎn)換器的多種器件參數(shù)與模數(shù)轉(zhuǎn)換器的輸出結(jié)果之間的相對變化關(guān)系;基于輸 入的器件參數(shù)W及調(diào)用的變化關(guān)系,對模數(shù)轉(zhuǎn)換器進(jìn)行仿真。
[0009] 其中,在對模數(shù)轉(zhuǎn)換器進(jìn)行仿真時,對于多種器件參數(shù)中被用戶輸入的器件參數(shù), 將輸入的參數(shù)值帶入被調(diào)用的相應(yīng)變化關(guān)系中;對于多種器件參數(shù)中未被用戶輸入的器件 參數(shù),設(shè)置為默認(rèn)值并帶入被調(diào)用的相應(yīng)變化關(guān)系中。
[0010] 并且,該方法可W進(jìn)一步包括:
[0011] 接收環(huán)境參數(shù);
[0012] 根據(jù)預(yù)先配置的環(huán)境參數(shù)與模數(shù)轉(zhuǎn)換器的器件參數(shù)之間的相對變化關(guān)系,對用戶 輸入的器件參數(shù)的參數(shù)值和未被用戶輸入的器件參數(shù)的默認(rèn)值進(jìn)行調(diào)整;
[0013] 并且,在對模數(shù)轉(zhuǎn)換器進(jìn)行仿真時,基于調(diào)整后的結(jié)果進(jìn)行仿真。
[0014] 進(jìn)一步地,該方法可W進(jìn)一步包括:
[0015] 預(yù)先配置環(huán)境參數(shù)與模數(shù)轉(zhuǎn)換器的器件參數(shù)之間的相對變化關(guān)系,并W函數(shù)的方 式表示相對變化關(guān)系;
[0016] 可選地,上述輸入的環(huán)境參數(shù)包括W下至少之一:
[0017] 溫度參數(shù)、氣壓參數(shù)、福照參數(shù)、磁場參數(shù)。
[0018] 可選地,上述模數(shù)轉(zhuǎn)換器的多種器件參數(shù)包括W下至少之一:
[0019] 分辨率、量化誤差、偏移誤差、滿刻度誤差、微分非線性誤差、積分非線性誤差、信 噪比、信噪失真比、總諧波失真、無雜散動態(tài)范圍、有效位數(shù)。
[0020] 此外,上述模數(shù)轉(zhuǎn)換器的模型預(yù)先基于VHDL-AMS建模,其中,模數(shù)轉(zhuǎn)換器的模型 中包含多種器件參數(shù)與模數(shù)轉(zhuǎn)換器的輸出結(jié)果之間的相對變化關(guān)系,并且提供了用于接收 多種器件參數(shù)的接口。
[0021] 并且,上述模數(shù)轉(zhuǎn)換器的模型為通過行為級建模得到。
[0022] 根據(jù)本發(fā)明的另一方面,還提供了一種模數(shù)轉(zhuǎn)換器的仿真裝置。 陽02引該裝置可W包括:
[0024] 接收模塊,用于接收用戶輸入的器件參數(shù);
[00巧]調(diào)用模塊,用于調(diào)用預(yù)先配置的模數(shù)轉(zhuǎn)換器的多種器件參數(shù)與模數(shù)轉(zhuǎn)換器的輸出 結(jié)果之間的相對變化關(guān)系;
[00%] 仿真模塊,用于基于輸入的器件參數(shù)W及調(diào)用的變化關(guān)系,對模數(shù)轉(zhuǎn)換器進(jìn)行仿 真。
[0027] 根據(jù)本發(fā)明的再一方面,還提供了一種模數(shù)轉(zhuǎn)換器的建模方法,該建模方法基于 VHDL-AMS、且W行為級建模的方式對模數(shù)轉(zhuǎn)換器進(jìn)行建模。
[0028] 其中,建模方法包括:配置并保存模數(shù)轉(zhuǎn)換器的多種器件參數(shù)與模數(shù)轉(zhuǎn)換器的輸 出結(jié)果之間的相對變化關(guān)系;配置用于接收多種器件參數(shù)中部分或全部參數(shù)的接口。
[0029] 本發(fā)明通過根據(jù)輸入的器件參數(shù)W及器件參數(shù)對模數(shù)轉(zhuǎn)換器輸出的影響對模數(shù) 轉(zhuǎn)換器進(jìn)行仿真,使得仿真的過程能夠考慮到模數(shù)轉(zhuǎn)換器本身的性能,從而使仿真更加準(zhǔn) 確、客觀。
【附圖說明】
[0030] 為了更清楚地說明本發(fā)明實施例或現(xiàn)有技術(shù)中的技術(shù)方案,下面將對實施例中所 需要使用的附圖作簡單地介紹,顯而易見地,下面描述中的附圖僅僅是本發(fā)明的一些實施 例,對于本領(lǐng)域普通技術(shù)人員來講,在不付出創(chuàng)造性勞動的前提下,還可W根據(jù)運(yùn)些附圖獲 得其他的附圖。
[0031] 圖1是根據(jù)本發(fā)明實施例的模數(shù)轉(zhuǎn)換器的仿真方法的流程圖;
[0032] 圖2是模數(shù)轉(zhuǎn)換器的轉(zhuǎn)換原理示意圖;
[0033] 圖3是示出模數(shù)轉(zhuǎn)換器的量化誤差的示意圖;
[0034] 圖4是示出模數(shù)轉(zhuǎn)換器的偏移誤差的示意圖;
[0035] 圖5是示出模數(shù)轉(zhuǎn)換器的滿刻度誤差的示意圖;
[0036] 圖6是示出模數(shù)轉(zhuǎn)換器的積分非線性誤差和微分非線性誤差的示意圖;
[0037] 圖7是根據(jù)本發(fā)明實施例的模數(shù)轉(zhuǎn)換器的模型示意圖;
[0038] 圖8是實現(xiàn)噪聲模塊時所基于的均勻分布概率示意圖;
[0039] 圖9是示出加法器連接關(guān)系的示意圖;
[0040] 圖10是AD轉(zhuǎn)換模塊的轉(zhuǎn)換流程圖;
[0041] 圖11是信號輸入和采樣保持的仿真圖;
[0042] 圖12是噪聲信號波形的仿真圖;
[0043] 圖13是不同分辨率的數(shù)字輸出波形圖;
[0044] 圖14是偏移誤差仿真結(jié)果圖;
[0045] 圖15是滿量程誤差的仿真結(jié)果圖;
[0046] 圖16是噪聲干擾的仿真結(jié)果圖;
[0047] 圖17是FFT變換的示意圖;
[0048] 圖18是微分和積分非線性誤差的仿真結(jié)果圖; W例圖19是帶有噪聲的FFT變換的示意圖;
[0050] 圖20是帶有噪聲的微分和積分非線性誤差的仿真結(jié)果圖;
[0051] 圖21是根據(jù)本發(fā)明實施例的模數(shù)轉(zhuǎn)換器的仿真裝置的框圖。
【具體實施方式】
[0052] 下面將結(jié)合本發(fā)明實施例中的附圖,對本發(fā)明實施例中的技術(shù)方案進(jìn)行清楚、完 整地描述,顯然,所描述的實施例僅僅是本發(fā)明一部分實施例,而不是全部的實施例。基于 本發(fā)明中的實施例,本領(lǐng)域普通技術(shù)人員所獲得的所有其他實施例,都屬于本發(fā)明保護(hù)的 范圍。
[0053] 根據(jù)本發(fā)明的實施例,提供了一種模數(shù)轉(zhuǎn)換器的仿真方法。
[0054] 如圖1所示,根據(jù)本發(fā)明實施例的模數(shù)轉(zhuǎn)換器的仿真方法包括: 陽化日]步驟S101,接收用戶輸入的器件參數(shù);
[0056] 步驟S103,調(diào)用預(yù)先配置的模數(shù)轉(zhuǎn)換器的多種器件參數(shù)與模數(shù)轉(zhuǎn)換器的輸出結(jié)果 之間的相對變化關(guān)系;
[0057] 步驟S105,基于輸入的器件參數(shù)W及調(diào)用的變化關(guān)系,對模數(shù)轉(zhuǎn)換器進(jìn)行仿真。
[0058] 其中,在對模數(shù)轉(zhuǎn)換器進(jìn)行仿真時,對于多種器件參數(shù)中被用戶輸入的器件參數(shù), 將輸入的參數(shù)值帶入被調(diào)用的相應(yīng)變化關(guān)系中;對于多種器件參數(shù)中未被用戶輸入的器件 參數(shù),設(shè)置為默認(rèn)值并帶入被調(diào)用的相應(yīng)變化關(guān)系中。
[0059] 也就是說,在根據(jù)本發(fā)明實施例的模數(shù)轉(zhuǎn)換器的模型中,包含外部環(huán)境參數(shù)的接 口,并且可W理解為,將模數(shù)轉(zhuǎn)換器的模型(包括接收器件參數(shù)的接口 W及器件參數(shù)與輸 出之間的相對變化關(guān)系)進(jìn)行一次封裝,在該封裝之外再進(jìn)行一次外層封裝,外層的封裝 包含輸入外部環(huán)境參數(shù)的接口,在進(jìn)行仿真時,模數(shù)轉(zhuǎn)換器的器件參數(shù)(不論是輸入的器 件參數(shù)還是被設(shè)置為默認(rèn)值的器件參數(shù))均會首先受到輸入的環(huán)境參數(shù)的作用而發(fā)生變 化,在仿真模數(shù)轉(zhuǎn)換器的輸出時,所基于的是因為環(huán)境參數(shù)而變化后的器件參數(shù)。
[0060] 此外,為了使得仿真結(jié)果能夠考慮到環(huán)境的影響,根據(jù)本發(fā)明實施例的仿真方法 可W進(jìn)一步包括:
[0061] 接收環(huán)境參數(shù);
[0062] 根據(jù)預(yù)先配置的環(huán)境參數(shù)與模數(shù)轉(zhuǎn)換器的器件參數(shù)之間的相對變化關(guān)系,對用戶 輸入的器件參數(shù)的參數(shù)值和未被用戶輸入的器件參數(shù)的默認(rèn)值進(jìn)行調(diào)整;
[0063] 并且,在對模數(shù)轉(zhuǎn)換器進(jìn)行仿真時,基于調(diào)整后的結(jié)果進(jìn)行仿真。
[0064] 為了讓環(huán)境參數(shù)與模數(shù)轉(zhuǎn)換器的參數(shù)之間存在關(guān)聯(lián),該仿真方法可W進(jìn)一步包 括:預(yù)先配置環(huán)境參數(shù)與模數(shù)轉(zhuǎn)換器的器件參數(shù)之間的相對變化關(guān)系,并W函數(shù)的方式表 示相對變化關(guān)系。
[00化]可選地,上述環(huán)境參數(shù)可w包括w下至少之一:溫度參數(shù)、氣壓參數(shù)、福照參數(shù)、磁 場參數(shù)。
[0066] 可選地,模數(shù)轉(zhuǎn)換器的多種器件參數(shù)包括W下至少之一:
[0067] 分辨率、量化誤差、偏移誤差、滿刻度誤差、微分非線性誤差、積分非線性誤差、信 噪比、信噪失真比、總諧波失真、無雜散動態(tài)范圍、有效位數(shù)。
[0068] 應(yīng)當(dāng)注意的是,運(yùn)里所列舉的環(huán)境參數(shù)和器件參數(shù)僅僅用于說明,而并不用于限 制本發(fā)明的保護(hù)范圍。
[0069] 此外,在一個實施例中,模數(shù)轉(zhuǎn)換器的模型預(yù)先基于VHDL-AMS建模,其中,模數(shù)轉(zhuǎn) 換器的模型中包含多種器件參數(shù)與模數(shù)轉(zhuǎn)換器的輸出結(jié)果之間的相對變化關(guān)系,并且提供 了用于接收多種器件參數(shù)的接口。
[0070] 另外,模數(shù)轉(zhuǎn)換器的模型可W通過行為級建模得到。運(yùn)里的行為級建模是指根據(jù) 器件的輸入/輸出外特性參數(shù)或者傳輸函數(shù)來構(gòu)造模型,運(yùn)種方式的建模關(guān)注于電路器件 或原件的工作原理,而無需理解具體元件的內(nèi)部機(jī)理,模型參數(shù)可通過直接測量而獲得。通 過行為級的建模方式來對模數(shù)轉(zhuǎn)換器進(jìn)行建模,能夠有效提高建模和仿真的效率,并且使 得模數(shù)轉(zhuǎn)換器的模型具有更好的通用性,而不僅僅局限于某一種具有特定結(jié)構(gòu)或特性的模 數(shù)轉(zhuǎn)換器。
[0071] 此外,上述VHDL-AMS是VHDL語言針對模擬和混合信號領(lǐng)域的擴(kuò)展。通過統(tǒng)一的、 混合信號建模方式,為數(shù)字和模擬系統(tǒng)架起橋梁,并且VHDL-AMS覆蓋了不同層次的設(shè)計, 允許設(shè)計者在行為級、功能級和晶體管級描述系統(tǒng)模型。它還可W描述如機(jī)械、流體等非電 力物理系統(tǒng)的行為。運(yùn)些特性使得VHDL-AMS適用于非常廣泛的領(lǐng)域。 陽07引下面將W VHDkAMS為例并結(jié)合ADC的基本原理描述本發(fā)明的技術(shù)方案。
[0073] (l)ADC基本原理及參撒指梳 陽074] ADC的基本轉(zhuǎn)換原理如圖2所示。參照圖2,在模擬信號輸入后,首先是W固定時間 進(jìn)行采樣,運(yùn)個時間稱為采樣周期,在每個采樣時刻對模擬信號采樣并保持到下一次采樣, 該過程稱為采樣保持。然后,對采樣值進(jìn)行量化和數(shù)字化,每個采樣結(jié)束后,轉(zhuǎn)換器盡快選 擇與采樣保持電平最接近的量化電平,并分配一個二進(jìn)制數(shù)字來表示該量化電平,完成轉(zhuǎn) 換。
[0075] 當(dāng)N位的ADC對最大轉(zhuǎn)換電壓為Vmax的模擬電壓進(jìn)行量化編碼時,對于每一個輸 入電壓Vin,其編碼值為:
[0076]
(1)
[0077] 若結(jié)果為小數(shù),可四舍五入取整。例如,對于10位的ADC,參考電壓為5V的模擬電 壓,輸入3V的電壓,那么編碼值為: W7 引
(2)
[0079] 建立ADC模型的目的之一就是為了表征ADC各項性能指標(biāo),所W在建模之前,了解 ADC各項參數(shù)的意義對整個忍片的設(shè)計和使用都是十分必要的。
[0080] (2)ADC 的參撒
[0081] ADC參數(shù)一般可分為靜態(tài)參數(shù)和動態(tài)參數(shù)兩大類:
[0082] 化1)靜態(tài)參數(shù)
[0083] (2. 1. 1)分辨率巧esolution):分辨率是衡量ADC分辨輸入模擬量最小變化程度 的技術(shù)指標(biāo)。例如:某A/D轉(zhuǎn)換器為12位,即表示該轉(zhuǎn)換器可W用21Z個二進(jìn)制數(shù)對輸入模 擬量進(jìn)行量化,其分辨率為1/212,也是1個LSB (Least Si即if ication Bit,最低有效位)。
[0084] (2. 1.。量化誤差(如antization lirror):由于ADC的有限分辯率而引起的誤差, 即有限分辯率AD的階梯狀轉(zhuǎn)移特性曲線與無限分辯率AD (理想AD)的轉(zhuǎn)移特性曲線(直 線)之間的最大偏差。圖3示出了 AD轉(zhuǎn)換器的輸入/輸出特性,最大量化誤差為1LSB。 陽0化](2. 1. 3)偏移誤差(Offset Error):是指實際的AD的最低的一個判決電平和理 想AD最低的一個判決電平之間的差值,即實際特性曲線和過原點(diǎn)的理想曲線之間的水平 差值。如圖4所示,通常偏移誤差可W通過電壓補(bǔ)償?shù)玫匠C正。
[0086] (2. 1.4)滿刻度誤差(化11-scale Error):滿刻度誤差是滿度輸出時對應(yīng)的輸入 信號與理想輸入信號值之差。如圖5所示,滿刻度誤差反映了實際傳輸曲線與理想傳輸曲 線的斜率之差,同樣可W通過微調(diào)校正為零。
[0087] (2. 1. W微分非線性誤差值NL):微分非線性誤差表征了 AD實際傳輸特性中實際 步長與理想步長之間的差值。如圖6所示。如果微分非線性誤差大于1LSB,則會產(chǎn)生失碼, 即本是2n個輸出碼中的一個或者多個碼不會出現(xiàn)。
[00蝴 (2. 1. 6)積分非線性誤差(INL):積分非線性誤差表征了 AD實際傳輸特性與理想 傳輸特性曲線在垂直方向上的最大差值。如圖6所示,顧名思義,某處的積分非線性誤差是 從傳輸曲線的最底端到此處的所有微分非線性誤差的累積。
[0089] (2. 2)動態(tài)參數(shù)
[0090] (2. 2. 1)信噪比(SNR):信噪比是指AD輸入信號的信號能量SP與噪聲能量NP之 比。計算公式如下: W 川
(3)
[0092] 對于一個理想A/D轉(zhuǎn)換器,若輸入正弦信號,其SNR為:
[0093] SNR = 1. 76+6. 02N (4)
[0094] 其中,N是AD的轉(zhuǎn)換位數(shù)。對于實際的ADC,由于量化誤差,時鐘抖動,電源波動W 及非線性等誤差帶來的噪聲,實際SNR要小于1. 76+6. 02N。
[00巧](2. 2.。信噪失真比(SNDR):信噪失真比表征了噪聲、量化誤差和諧波失真等引 起的性能下降,定義為基頻信號能量SP與諧波、混疊諧波及噪聲信號能量和NDP之比:
[0096]
(5)
[0097] (2. 2. 3)總諧波失真燈皿):為了衡量實際ADC產(chǎn)生的諧波對其性能的影響,總諧 波失真定義為總的諧波失真能量T皿P與基頻信號能量SP之比,即:
[009引
(6 )
[0099] (2. 2. 4)無雜散動態(tài)范圍(S抑時:無雜散動態(tài)范圍是衡量相對于輸入信號的最差 頻譜偽像的重要指標(biāo)。定義為信號能量SP與乃奎斯特頻帶內(nèi)最大諧波或者混疊諧波能量 LHP之比。
[0100]
(7) 陽W] (2. 2. W有效位數(shù)巧NOB):從另一角度講,當(dāng)測出一個實際的ADC的SNR時,可W 將實際系統(tǒng)中的噪聲、干擾和非線性誤差等因素都按量化噪聲折算,則用公式(8)表示出 實際系統(tǒng)所能達(dá)到的轉(zhuǎn)換位數(shù),即有效位數(shù)。 陽 102] EN0B = (SNR-1. 76)/6. 02 (8) 引 (3)ADC的V皿L-AMS橫巧
[0104] 根據(jù)上述的ADC轉(zhuǎn)換原理,利用VHDkAMS,可W如圖7所示設(shè)計ADC模型構(gòu)架。
[01化]在圖7中,虛線框內(nèi)各模塊在SystemVision中建立,并顯示轉(zhuǎn)換結(jié)果。為驗證仿 真動態(tài)參數(shù)性能,由Simulink產(chǎn)生正弦激勵信號,而后將ADC轉(zhuǎn)換后的數(shù)據(jù)傳入Matl油計 算并顯示動態(tài)參數(shù)值。在此需要說明,模型利用Simulink產(chǎn)生信號的原因是,在較高頻率 情況下,SystemVision產(chǎn)生的信號已經(jīng)發(fā)生嚴(yán)重失真,運(yùn)可能跟軟件本身精度有關(guān),同樣的 頻率,Simulink產(chǎn)生的信號能夠保證良好的信號完整度,所W為保證驗證仿真的精度,選擇 Simulink產(chǎn)生信號。 陽1〇6] (3. 1)采樣保持模塊(是否有參數(shù)接口) 陽107] 采樣保持模塊的作用就是對輸入來的模擬信號按固定時間采樣并保持到一下采 樣時刻。VHDL-AMS偽代碼如下: 陽10引 陽 109]
[0110] 其中,VI表示輸入的電壓,Z0H是采樣屬性函數(shù),T是采樣時間間隔,0. 0表示初始 采樣時間,V2是輸出電壓。 陽1川 (3. 2)噪聲模塊
[0112] 噪聲模塊作用是為了產(chǎn)生ADC在轉(zhuǎn)換過程中的量化誤差,時鐘抖動,電源波動W 及非線性等誤差帶來的噪聲,該噪聲是利用均勻分布實現(xiàn)的。圖8是均勻分布的概率密度。
[0113] -個區(qū)間控制變量[left, ri曲t]用來設(shè)定加入噪聲的上下限值。噪聲模塊的核 屯、VHDL-AMS偽代碼如下: 陽114]
[0115] 例如設(shè)定區(qū)間為[-0. 5V,0. 5V],表示噪聲最大最小值分別是-0. 5V和0. 5V。
[0116] (3. 3)加法器模塊
[0117] 如圖9所示,加法器的作用就是把采樣保持輸出的信號和噪聲信號線性疊加傳送 到下一級。加法器的VHDL-AMS偽代碼如下: 陽11引 陽119]
[0120] (3. 4) AD 轉(zhuǎn)換模塊 陽12U AD轉(zhuǎn)換模塊是該模型的核屯、,基本實現(xiàn)原理如公式1所示,同時也包含了類型轉(zhuǎn) 換、上下限界定等處理,AD轉(zhuǎn)換模塊的工作流程如圖10所示。
[0122] 在該模塊還提供了如ADC轉(zhuǎn)換位數(shù)Nbits、最大參考電壓Vmax、轉(zhuǎn)換周期T、偏移 誤差offset、滿量程誤差系數(shù)FS_error、噪聲大小[left, ri曲t]等對外接口參數(shù),可W按 照不同的參數(shù)指標(biāo)設(shè)定其中一個或多個參數(shù)來滿足相應(yīng)的設(shè)計或者仿真要求。在相關(guān)技術(shù) 中,已有的建模方法只是針對特定類型建模,不具備通用性,并且多數(shù)僅能夠針對ADC工作 時的某一方面參數(shù)進(jìn)行分析和研究,不能綜合描述ADC工作時各項性能參數(shù)的變化。而本 發(fā)明的方案能夠基于VHDL-AMS建立通用的ADC模型,并考慮到諸多參數(shù),運(yùn)樣做的優(yōu)勢在 于:
[0123] (a)不針對特定類型和型號的AD轉(zhuǎn)換器,因此設(shè)計過程不會受到某個類型AD轉(zhuǎn)換 器的結(jié)構(gòu)或功能約束;
[0124] 化)典型的行為級描述,具有很好的設(shè)計抽象性、靈活度和空間性;
[0125] (C)對外留出足夠的參數(shù)接口,能盡可能多的表征不同性能指標(biāo); 陽126] (d)模型建立在SystemVision中,數(shù)據(jù)處理在MATLAB中,能夠發(fā)揮不同工具各自 的優(yōu)勢,使設(shè)計更高效;
[0127] (e)根據(jù)W后更多的需求,易于模型的擴(kuò)展,使其更完善。 。12引 (4)仿真結(jié)果 陽129] (4. DSIMULINK信號發(fā)生模塊
[0130] 可W在SIMULINK中設(shè)定正弦信號頻率為1M監(jiān),幅值為10V,將數(shù)據(jù)傳入 SystemVision并顯示,如圖11中sine_in波形仿真結(jié)果所示。 陽131] (4.2)采樣保持模塊
[0132] 如果對圖11輸入信號進(jìn)行20MHz的采樣,那么設(shè)定采樣周期T = 0. 0加 S,仿真結(jié) 果如圖11中ad_in銀齒波形所示,采樣頻率是輸入信號頻率的20倍,那么在一個信號周期 內(nèi)將產(chǎn)生20個保持信號,仿真結(jié)果也表明了運(yùn)一點(diǎn)。 陽133] (4. 3)噪聲模塊
[0134] 設(shè)定噪聲區(qū)間為[-1.0¥,1.0¥],表示噪聲最大最小值分別是-1.(^和1.(^,且符 合均勻分布,仿真結(jié)果如圖12所示。
[0135] (4. 4) AD 轉(zhuǎn)換模塊
[0136] 將ADC轉(zhuǎn)換位數(shù)Nbits分別設(shè)置成10位和12位,輸入信號如圖11,仿真結(jié)果如圖 13所示。input波形是模擬輸入信號,hoi化oadc波形是采樣保持,最后兩個分別是10位 和12位的數(shù)字輸出,那么理論最大最小輸出分別為±512炒°-1)和±2048炒2-1),實際仿 真最大輸出分別是511和2047,最小輸出是-512和-2048,運(yùn)與預(yù)設(shè)的轉(zhuǎn)換位數(shù)是相符的。 AD轉(zhuǎn)換模塊還存在偏移誤差,其仿真結(jié)果圖參照圖14所示。 陽137] 仿真ADC轉(zhuǎn)換位數(shù)為10時,隨機(jī)抽取一組數(shù)據(jù)進(jìn)行偏差分析如表1,輸入值是模擬 輸入信號,輸出值是數(shù)字輸出,對應(yīng)量是數(shù)字輸出對應(yīng)到模擬值,偏差百分比是對應(yīng)量與輸 入值的差值比。由表可看出,偏差最大不超過0.5%。
[0138] 表1誤差分析 陽 139]
[0140] 設(shè)定參考電壓為10V,offset轉(zhuǎn)換偏移量為-2V,仿真結(jié)果如圖15。數(shù)字輸出信號 相對模擬輸入信號,整體向下2V,且下限超出部分被截斷,符合預(yù)定設(shè)置。 陽141] 設(shè)定輸入的是從0到10V的線性模擬信號,滿量程誤差系數(shù)FS_error為1. 2,即數(shù) 字輸出最大為10/1. 2 = 8. 33V。如圖15所示,數(shù)字最大輸出為8. 32031V,與理論計算基本 一致。
[0142] 假定幅值為10V的正弦信號加入[-i.ova.ov]區(qū)間的均勻白噪聲,仿真結(jié)果如圖 16所示,輸出的銀齒波形在噪聲的干擾下與原始信號相比變得雜亂不均。
[0143] (4. 5) MTLAB 仿真驗證
[0144] W上仿真驗證了 ADC的靜態(tài)參數(shù),對于信噪比、有效位數(shù)等動態(tài)參數(shù)和積分微分 非線性誤差,由于需要對輸出數(shù)據(jù)進(jìn)行統(tǒng)計和FFT變換才能得到結(jié)果,所W如圖7模型架構(gòu) 所示,根據(jù)各個參數(shù)的定義,編寫MTLAB代碼計算出各參數(shù)值。
[0145] 對于理想ADC來講,噪聲主要來自量化噪聲,輸入正弦信號,信噪比的理論值為公 式4所示,則當(dāng)N= 12時,信噪比SNR> 74地。在此可W設(shè)定AD轉(zhuǎn)換位數(shù)Nbits為12,正 弦輸入信號頻率為36. 633MHz,幅值為IV,采樣頻率為lOOMHz,采樣點(diǎn)為8192,其他誤差都 初始為零,則MTLAB FFT變換及各指標(biāo)結(jié)果如圖17、18所示。 陽146] 如圖17所示,最高幅值表示原始輸入信號,頻率對應(yīng)36. 633MHz。其他被方框標(biāo)示 出的是諧波分量。無雜散動態(tài)范圍為79. 68地,諧波失真為-79. 44地,信噪比為74. 10地,信 噪失真比為72,98地,有效位數(shù)為11. 84bit。 陽147]圖18是微分和積分非線性誤差,它們的最大最小值的絕對值都不超過1LSB,可認(rèn) 為模型是理想的。 陽148] 如果在W上基礎(chǔ)上,加入[-0. 00125V,0. 00125V]的噪聲,經(jīng)計算,SNR就會下降到 60地,MTLAB FFT變換及各指標(biāo)結(jié)果如圖19、20所示。
[0149] 圖19與圖17相比,輸入原始信號沒有變化。由于加入了白噪聲,信噪比、信噪失 真比和有效位數(shù)都有明顯下降,并且信噪比SNR為59. 75地,運(yùn)與計算值一致。根據(jù)文獻(xiàn)
[7]所述,一定量的噪聲可W改善無雜散動態(tài)范圍,所W無雜散動態(tài)范圍從79. 68地變成了 80. 16地,仿真結(jié)果與該觀點(diǎn)也是相符的。 陽150] 圖20是帶有噪聲的微分和積分非線性誤差,最大噪聲0. 00125V數(shù)字輸出為3,即 最大非線性誤差不超過3,可W判斷仿真結(jié)果是正確的。 陽15U 在W上描述的本發(fā)明的實施例中,使用VHDL-AMS語言在SystemVision軟件環(huán)境 中建立通用的ADC仿真模型,該模型能夠表征ADC各項性能參數(shù)。最后在MTLAB中設(shè)計實 驗對本文所建的ADC模型的進(jìn)行驗證。結(jié)果表明,本文中基于VHDkAMS語言建立的ADC模 型能夠正確表征ADC工作時的各項性能參數(shù),并且該模型具有通用性和可擴(kuò)展性。 陽152] 此外,根據(jù)本發(fā)明的實施例,還提供了一種模數(shù)轉(zhuǎn)換器的仿真裝置。 陽153] 如圖21所示,根據(jù)本發(fā)明實施例的模數(shù)轉(zhuǎn)換器的仿真裝置包括: 陽154] 接收模塊2101,用于接收用戶輸入的器件參數(shù); 陽155] 調(diào)用模塊2102,用于調(diào)用預(yù)先配置的模數(shù)轉(zhuǎn)換器的多種器件參數(shù)與模數(shù)轉(zhuǎn)換器的 輸出結(jié)果之間的相對變化關(guān)系; 陽156] 仿真模塊2103,用于基于輸入的器件參數(shù)W及調(diào)用的變化關(guān)系,對模數(shù)轉(zhuǎn)換器進(jìn) 行仿真。 陽157] 在一個實施例中,接收模塊2101還用于接收輸入的環(huán)境參數(shù); 陽15引并且,根據(jù)本發(fā)明的仿真裝置進(jìn)一步包括:
[0159] 調(diào)整模塊(未示出),用于根據(jù)預(yù)先配置的環(huán)境參數(shù)與模數(shù)轉(zhuǎn)換器的器件參數(shù)之 間的相對變化關(guān)系,對用戶輸入的器件參數(shù)的參數(shù)值和未被用戶輸入的器件參數(shù)的默認(rèn)值 進(jìn)行調(diào)整;
[0160] 并且,仿真模塊2103用于基于調(diào)整后的結(jié)果進(jìn)行仿真。 陽161] 并且,根據(jù)本發(fā)明的仿真裝置可W進(jìn)一步包括:
[0162] 配置模塊(未示出),用于預(yù)先配置環(huán)境參數(shù)與模數(shù)轉(zhuǎn)換器的內(nèi)部參數(shù)之間的相 對變化關(guān)系,并W函數(shù)的方式表示相對變化關(guān)系。
[0163] 可選地,上述環(huán)境參數(shù)可W包括W下至少之一:溫度參數(shù)、氣壓參數(shù)、福照參數(shù)、磁 場參數(shù)。
[0164] 可選地,模數(shù)轉(zhuǎn)換器的多種器件參數(shù)包括W下至少之一:
[01化]分辨率、量化誤差、偏移誤差、滿刻度誤差、微分非線性誤差、積分非線性誤差、信 噪比、信噪失真比、總諧波失真、無雜散動態(tài)范圍、有效位數(shù)。
[0166] 應(yīng)當(dāng)注意的是,運(yùn)里所列舉的環(huán)境參數(shù)和器件參數(shù)僅僅用于說明,而并不用于限 制本發(fā)明的保護(hù)范圍。
[0167] 此外,在一個實施例中,模數(shù)轉(zhuǎn)換器的模型預(yù)先基于VHDL-AMS建模,其中,模數(shù)轉(zhuǎn) 換器的模型中包含多種器件參數(shù)與模數(shù)轉(zhuǎn)換器的輸出結(jié)果之間的相對變化關(guān)系,并且提供 了用于接收多種器件參數(shù)的接口。另外,模數(shù)轉(zhuǎn)換器的模型可W通過行為級建模得到。
[0168] 根據(jù)本發(fā)明的實施例,還提供了一種模數(shù)轉(zhuǎn)換器的建模方法,該建模方法基于 VHDL-AMS、且W行為級建模的方式對模數(shù)轉(zhuǎn)換器進(jìn)行建模。
[0169] 根據(jù)本發(fā)明實施例的模數(shù)轉(zhuǎn)換器的建模方法包括:配置并保存模數(shù)轉(zhuǎn)換器的多種 器件參數(shù)與模數(shù)轉(zhuǎn)換器的輸出結(jié)果之間的相對變化關(guān)系;配置用于接收多種器件參數(shù)中部 分或全部參數(shù)的接口。
[0170] 并且,該建模方法還可W包括:配置環(huán)境參數(shù)輸入接口,并且配置環(huán)境參數(shù)與模數(shù) 轉(zhuǎn)換器的上述多種器件參數(shù)之間的相對變化關(guān)系。 陽171] 可選地,上述環(huán)境參數(shù)可W包括W下至少之一:溫度參數(shù)、氣壓參數(shù)、福照參數(shù)、磁 場參數(shù)。可選地,模數(shù)轉(zhuǎn)換器的多種器件參數(shù)包括W下至少之一:分辨率、量化誤差、偏移誤 差、滿刻度誤差、微分非線性誤差、積分非線性誤差、信噪比、信噪失真比、總諧波失真、無雜 散動態(tài)范圍、有效位數(shù)。
[0172] 應(yīng)當(dāng)注意的是,運(yùn)里所列舉的環(huán)境參數(shù)和器件參數(shù)僅僅用于說明,而并不用于限 制本發(fā)明的保護(hù)范圍。
[0173] 綜上所述,借助于本發(fā)明的上述技術(shù)方案,本發(fā)明通過根據(jù)輸入的器件參數(shù)W及 器件參數(shù)對模數(shù)轉(zhuǎn)換器輸出的影響對模數(shù)轉(zhuǎn)換器進(jìn)行仿真,使得仿真的過程能夠考慮到模 數(shù)轉(zhuǎn)換器本身的性能,從而使仿真更加準(zhǔn)確、客觀;另外,通過接收環(huán)境參數(shù)并調(diào)整運(yùn)模數(shù) 轉(zhuǎn)換器的器件參數(shù)之后進(jìn)行仿真,能夠使得仿真結(jié)果與模數(shù)轉(zhuǎn)換器實際所處的環(huán)境相關(guān) 聯(lián),進(jìn)一步有效提高仿真結(jié)果的客觀性和可靠性。
[0174] W上所述僅為本發(fā)明的較佳實施例而已,并不用W限制本發(fā)明,凡在本發(fā)明的精 神和原則之內(nèi),所作的任何修改、等同替換、改進(jìn)等,均應(yīng)包含在本發(fā)明的保護(hù)范圍之內(nèi)。
【主權(quán)項】
1. 一種模數(shù)轉(zhuǎn)換器的仿真方法,其特征在于,包括: 接收用戶輸入的器件參數(shù); 調(diào)用預(yù)先配置的所述模數(shù)轉(zhuǎn)換器的多種器件參數(shù)與所述模數(shù)轉(zhuǎn)換器的輸出結(jié)果之間 的相對變化關(guān)系; 基于輸入的所述器件參數(shù)以及調(diào)用的所述變化關(guān)系,對所述模數(shù)轉(zhuǎn)換器進(jìn)行仿真。2. 根據(jù)權(quán)利要求1所述的仿真方法,其特征在于,在對所述模數(shù)轉(zhuǎn)換器進(jìn)行仿真時,對 于所述多種器件參數(shù)中被用戶輸入的所述器件參數(shù),將輸入的參數(shù)值帶入被調(diào)用的相應(yīng)變 化關(guān)系中;對于所述多種器件參數(shù)中未被用戶輸入的器件參數(shù),設(shè)置為默認(rèn)值并帶入被調(diào) 用的相應(yīng)變化關(guān)系中。3. 根據(jù)權(quán)利要求2所述的仿真方法,其特征在于,進(jìn)一步包括: 接收環(huán)境參數(shù); 根據(jù)預(yù)先配置的環(huán)境參數(shù)與所述模數(shù)轉(zhuǎn)換器的器件參數(shù)之間的相對變化關(guān)系,對用戶 輸入的所述器件參數(shù)的參數(shù)值和未被用戶輸入的器件參數(shù)的默認(rèn)值進(jìn)行調(diào)整; 并且,在對所述模數(shù)轉(zhuǎn)換器進(jìn)行仿真時,基于調(diào)整后的結(jié)果進(jìn)行仿真。4. 根據(jù)權(quán)利要求3所述的仿真方法,其特征在于,進(jìn)一步包括: 預(yù)先配置環(huán)境參數(shù)與所述模數(shù)轉(zhuǎn)換器的器件參數(shù)之間的相對變化關(guān)系,并以函數(shù)的方 式表示所述相對變化關(guān)系。5. 根據(jù)權(quán)利要求3或4所述的仿真方法,其特征在于,輸入的所述環(huán)境參數(shù)包括以下至 少之一: 溫度參數(shù)、氣壓參數(shù)、輻照參數(shù)、磁場參數(shù)。6. 根據(jù)權(quán)利要求1至4中任一項所述的仿真方法,其特征在于,所述模數(shù)轉(zhuǎn)換器的多種 器件參數(shù)包括以下至少之一: 分辨率、量化誤差、偏移誤差、滿刻度誤差、微分非線性誤差、積分非線性誤差、信噪比、 信噪失真比、總諧波失真、無雜散動態(tài)范圍、有效位數(shù)。7. 根據(jù)權(quán)利要求1至4中任一項所述的仿真方法,其特征在于,所述模數(shù)轉(zhuǎn)換器的模 型預(yù)先基于VHDL-AMS建模,其中,所述模數(shù)轉(zhuǎn)換器的模型中包含所述多種器件參數(shù)與所述 模數(shù)轉(zhuǎn)換器的輸出結(jié)果之間的相對變化關(guān)系,并且提供了用于接收所述多種器件參數(shù)的接 □ 〇8. 根據(jù)權(quán)利要求7所述的仿真方法,其特征在于,所述模數(shù)轉(zhuǎn)換器的模型為通過行為 級建模得到。9. 一種模數(shù)轉(zhuǎn)換器的仿真裝置,其特征在于,包括: 接收模塊,用于接收用戶輸入的器件參數(shù); 調(diào)用模塊,用于調(diào)用預(yù)先配置的所述模數(shù)轉(zhuǎn)換器的多種器件參數(shù)與所述模數(shù)轉(zhuǎn)換器的 輸出結(jié)果之間的相對變化關(guān)系; 仿真模塊,用于基于輸入的所述器件參數(shù)以及調(diào)用的所述變化關(guān)系,對所述模數(shù)轉(zhuǎn)換 器進(jìn)行仿真。10. -種模數(shù)轉(zhuǎn)換器的建模方法,其特征在于,所述建模方法基于VHDL-AMS、且以行為 級建模的方式對模數(shù)轉(zhuǎn)換器進(jìn)行建模,其中,所述建模方法包括: 配置并保存模數(shù)轉(zhuǎn)換器的多種器件參數(shù)與所述模數(shù)轉(zhuǎn)換器的輸出結(jié)果之間的相對變 化關(guān)系; 配置用于接收所述多種器件參數(shù)中部分或全部參數(shù)的接口。
【文檔編號】G06F17/50GK105989200SQ201510049944
【公開日】2016年10月5日
【申請日】2015年1月29日
【發(fā)明人】劉錦輝, 趙小冬, 王芳, 張亞棣, 王泉, 劉剛, 萬波
【申請人】西安電子科技大學(xué)昆山創(chuàng)新研究院, 西安電子科技大學(xué), 趙小冬
網(wǎng)友詢問留言 已有0條留言
  • 還沒有人留言評論。精彩留言會獲得點(diǎn)贊!
1