日韩成人黄色,透逼一级毛片,狠狠躁天天躁中文字幕,久久久久久亚洲精品不卡,在线看国产美女毛片2019,黄片www.www,一级黄色毛a视频直播

數(shù)據(jù)信號(hào)的相位控制方法,反時(shí)鐘電路裝置以及接口設(shè)備的制作方法

文檔序號(hào):7909973閱讀:409來源:國知局
專利名稱:數(shù)據(jù)信號(hào)的相位控制方法,反時(shí)鐘電路裝置以及接口設(shè)備的制作方法
技術(shù)領(lǐng)域
本發(fā)明涉及一種數(shù)據(jù)信號(hào)的相位控制方法,一種接口設(shè)備,以及一種反時(shí)鐘電路裝置。
數(shù)據(jù)信號(hào)一般從第一單元或部件傳送到第二單元或部件,第一單元或部件一般可以看成是數(shù)據(jù)源,而第二單元或部件則可以對應(yīng)地看成是數(shù)據(jù)宿(data sink)。采用反時(shí)鐘方式,確切地說,在關(guān)于數(shù)據(jù)信號(hào)傳播方向的反傳播方向上傳送時(shí)鐘。這樣就可以在數(shù)據(jù)宿中生成時(shí)鐘,通常也稱為主時(shí)鐘,或者將該時(shí)鐘饋送到數(shù)據(jù)宿,并從數(shù)據(jù)宿以反傳播時(shí)鐘的形式發(fā)送給數(shù)據(jù)源。
現(xiàn)有技術(shù)的反時(shí)鐘電路裝置包括數(shù)據(jù)源單元的第一鎖存器和數(shù)據(jù)宿單元的第二鎖存器。該數(shù)據(jù)宿生成或接收主時(shí)鐘。在數(shù)據(jù)宿中,主時(shí)鐘被分割成數(shù)據(jù)宿時(shí)鐘和反傳播時(shí)鐘,后者被發(fā)送給數(shù)據(jù)源。數(shù)據(jù)源的處理由反傳播時(shí)鐘控制。具體來講,利用第一和第二鎖存器,數(shù)據(jù)信號(hào)分別與數(shù)據(jù)源的反傳播時(shí)鐘和數(shù)據(jù)宿的數(shù)據(jù)宿時(shí)鐘或主時(shí)鐘同步。因?yàn)闀r(shí)鐘的周期特性,可以出現(xiàn)若干離散值。但是,數(shù)據(jù)源和數(shù)據(jù)宿之間的數(shù)據(jù)/時(shí)鐘變化,和/或電路傳播時(shí)延超過了數(shù)據(jù)宿鎖存器的時(shí)鐘相位邊界,就會(huì)引起誤碼。尤其是在時(shí)鐘速率高的情況下,很難使定時(shí)穩(wěn)定。
在這份包括權(quán)利要求的說明書中,“數(shù)據(jù)宿時(shí)鐘”表示的時(shí)鐘被送到數(shù)據(jù)宿,或者在數(shù)據(jù)宿中生成,用于控制數(shù)據(jù)宿特定功能的定時(shí),例如信號(hào)元的時(shí)間長度,或者采樣率,或者傳輸設(shè)備的同步。
在這份包括權(quán)利要求的說明書中,“反傳播時(shí)鐘”或者“反時(shí)鐘”表示的時(shí)鐘用于控制數(shù)據(jù)源特定功能的定時(shí),例如信號(hào)元的時(shí)間長度,或者采樣率,或者傳輸設(shè)備的同步,該時(shí)鐘從數(shù)據(jù)宿發(fā)送。
現(xiàn)有技術(shù)的另一方案是帶有先進(jìn)先出(FIFO)存儲(chǔ)器的反時(shí)鐘電路。與現(xiàn)有技術(shù)的上述電路相比,F(xiàn)IFO存儲(chǔ)器由數(shù)據(jù)宿提供,從數(shù)據(jù)源發(fā)送同傳時(shí)鐘(co-propagating clock)給數(shù)據(jù)宿。同傳時(shí)鐘以反時(shí)鐘形式實(shí)現(xiàn),它由數(shù)據(jù)源反饋耦合到數(shù)據(jù)宿。在同傳時(shí)鐘控制下將數(shù)據(jù)寫入FIFO,同傳時(shí)鐘在相位上與數(shù)據(jù)源的數(shù)據(jù)相同,相對于主時(shí)鐘而言,其相位是任意的。利用數(shù)據(jù)源時(shí)鐘或主時(shí)鐘,可以分別從FIFO中讀出數(shù)據(jù),從而保證數(shù)據(jù)宿輸入寄存器有正確的時(shí)鐘數(shù)據(jù)相位。通過暫時(shí)寫入較多的數(shù)據(jù)而讀出較少,或者讀出較多的數(shù)據(jù)而寫入較少,可以補(bǔ)償信號(hào)的相位波動(dòng)或傳輸差異。這樣,F(xiàn)IFO存儲(chǔ)器可以補(bǔ)償數(shù)據(jù)源和數(shù)據(jù)宿之間的數(shù)據(jù)/時(shí)鐘鏈路的暫時(shí)性傳播變化和/或電路傳播時(shí)延。
但是,F(xiàn)IFO存儲(chǔ)器的深度限制了電路的糾正能力。較小的波動(dòng)或短期變化可以通過這種方式解決。但哪怕是小的變化引起的電路經(jīng)常性或者長期的可能相位偏移都遲早肯定會(huì)超出存儲(chǔ)器限制,從而導(dǎo)致誤碼。
這些目的通過按照權(quán)利要求1的數(shù)據(jù)信號(hào)相位控制方法,按照權(quán)利要求4的接口設(shè)備和按照權(quán)利要求7的反時(shí)鐘電路裝置來實(shí)現(xiàn)。
按照本發(fā)明的數(shù)據(jù)信號(hào)相位控制方法包括以下步驟從數(shù)據(jù)源發(fā)送數(shù)據(jù)信號(hào)給數(shù)據(jù)宿;從數(shù)據(jù)宿發(fā)送反時(shí)鐘給數(shù)據(jù)源;在數(shù)據(jù)源將數(shù)據(jù)信號(hào)與該反時(shí)鐘同步;在數(shù)據(jù)宿使數(shù)據(jù)信號(hào)與數(shù)據(jù)宿時(shí)鐘同步;其中該方法還包括以下步驟在數(shù)據(jù)宿,比較數(shù)據(jù)宿時(shí)鐘的相位和基準(zhǔn)信號(hào)的相位;在數(shù)據(jù)宿,基于數(shù)據(jù)宿時(shí)鐘和基準(zhǔn)信號(hào)相位的比較,調(diào)整反時(shí)鐘的相位。
一種按照本發(fā)明進(jìn)行數(shù)據(jù)信號(hào)相位控制的接口設(shè)備,該設(shè)備采用反時(shí)鐘方式,數(shù)據(jù)信號(hào)從數(shù)據(jù)源發(fā)送到接口設(shè)備,該設(shè)備包括數(shù)據(jù)信號(hào)輸入、數(shù)據(jù)信號(hào)輸出、時(shí)鐘輸入、時(shí)鐘輸出和鎖存器,其鎖存器輸入連接到數(shù)據(jù)信號(hào)輸入,鎖存器輸出連接到數(shù)據(jù)信號(hào)輸出,鎖存器時(shí)鐘輸入連接到接口設(shè)備的接口時(shí)鐘輸入,其中接口設(shè)備還包括移相器,具有輸入、輸出和控制輸入,移相器輸入連接到接口時(shí)鐘輸入,移相器輸出連接到接口時(shí)鐘輸出,以及比較數(shù)據(jù)宿時(shí)鐘相位和基準(zhǔn)信號(hào)相位的裝置,前述數(shù)據(jù)宿時(shí)鐘應(yīng)用于鎖存器的時(shí)鐘輸入,該裝置基于相位比較,通過移相器控值輸入來控制移相器。
一種按照本發(fā)明的反時(shí)鐘電路裝置,用于控制數(shù)據(jù)源發(fā)送給數(shù)據(jù)宿的數(shù)據(jù)信號(hào)的相位,包括,數(shù)據(jù)源的第一鎖存器,數(shù)據(jù)宿的第二鎖存器,數(shù)據(jù)信號(hào)鏈路和反時(shí)鐘鏈路,其中第一鎖存器具有關(guān)于數(shù)據(jù)源的進(jìn)入數(shù)據(jù)信號(hào)的數(shù)據(jù)信號(hào)輸入,從數(shù)據(jù)源發(fā)送數(shù)據(jù)信號(hào)給數(shù)據(jù)宿的數(shù)據(jù)信號(hào)輸出,以及連接到反時(shí)鐘的時(shí)鐘輸入,第二鎖存器具有從數(shù)據(jù)源接收數(shù)據(jù)信號(hào)的數(shù)據(jù)信號(hào)輸入,數(shù)據(jù)信號(hào)輸出,以及連接到數(shù)據(jù)宿時(shí)鐘的時(shí)鐘輸入,數(shù)據(jù)信號(hào)通過數(shù)據(jù)信號(hào)鏈路從數(shù)據(jù)源發(fā)送給數(shù)據(jù)宿,在數(shù)據(jù)宿,將主時(shí)鐘分割成數(shù)據(jù)宿時(shí)鐘和反時(shí)鐘,
從數(shù)據(jù)宿將反時(shí)鐘通過反時(shí)鐘鏈路發(fā)送給數(shù)據(jù)源,其中該電路裝置還包括,用于在數(shù)據(jù)宿比較數(shù)據(jù)宿時(shí)鐘的相位和基準(zhǔn)信號(hào)的相位的裝置,以及用于對述反時(shí)鐘進(jìn)行相位偏移的移相器,其中該裝置基于數(shù)據(jù)宿時(shí)鐘的相位比較來控制移相器。
本發(fā)明的一個(gè)基本思想是比較數(shù)據(jù)宿時(shí)鐘的相位和基準(zhǔn)信號(hào)的相位。分別在數(shù)據(jù)宿或接口設(shè)備進(jìn)行這種比較?;鶞?zhǔn)信號(hào)由反時(shí)鐘電路裝置確定。這意味著,基準(zhǔn)信號(hào)的相位例如取決于數(shù)據(jù)源的傳播和處理時(shí)延以及反時(shí)鐘鏈路和基準(zhǔn)信號(hào)鏈路的傳播時(shí)延。因?yàn)檫B續(xù)生產(chǎn)的采樣容錯(cuò)性,以及設(shè)備老化或者周圍環(huán)境隨時(shí)間發(fā)生變化,該相位可能會(huì)有所變化。在頻率捷變系統(tǒng)中,主時(shí)鐘頻率和相應(yīng)的比特率會(huì)有所變化,這也會(huì)導(dǎo)致相位關(guān)系的變化。換句話說基準(zhǔn)信號(hào),確切地說是基準(zhǔn)信號(hào)的相位取決于反時(shí)鐘電路裝置的處理和傳輸條件,這些條件的變化由基準(zhǔn)信號(hào)的相位變化來表示。按照本發(fā)明,這些條件的變化可以通過基準(zhǔn)信號(hào)與數(shù)據(jù)宿時(shí)鐘相位的比較來檢測。移相器用于調(diào)整反時(shí)鐘相位,使得基準(zhǔn)信號(hào)和數(shù)據(jù)宿時(shí)鐘之間的相位關(guān)系趨于穩(wěn)定。因此,數(shù)據(jù)輸入和數(shù)據(jù)宿時(shí)鐘之間的相位關(guān)系能夠穩(wěn)定,數(shù)據(jù)宿鎖存器的無差錯(cuò)操作得以維持。通過調(diào)整反時(shí)鐘相位來主動(dòng)補(bǔ)償信號(hào)的相位波動(dòng)或傳輸差異,而不是FIFO方案中的被動(dòng)方式。因?yàn)榉磿r(shí)鐘相位針對這種相位變化的主動(dòng)調(diào)整,反時(shí)鐘電路的經(jīng)常性或者長期的可能相位偏移—哪怕是小的偏移,都遲早肯定會(huì)導(dǎo)致超出FIFO方案的存儲(chǔ)器限制—事實(shí)上實(shí)際中不會(huì)再出現(xiàn)。
比較有利的是,可以避免處理樣本變化以及補(bǔ)償時(shí)變影響的服務(wù)修正的相位初始調(diào)整。與受數(shù)據(jù)存儲(chǔ)器有限深度限制的FIFO方法不同,時(shí)鐘信號(hào)的相位調(diào)整不受范圍限制。因?yàn)闀r(shí)鐘的周期特性,所需的任何相移都可以通過映射到一個(gè)時(shí)鐘周期來實(shí)現(xiàn),而不會(huì)出現(xiàn)小故障。
在本發(fā)明的一種優(yōu)選實(shí)施例中,以同傳信號(hào)的形式從數(shù)據(jù)源反饋耦合反時(shí)鐘給數(shù)據(jù)宿,該信號(hào)被用作基準(zhǔn)信號(hào)。利用時(shí)鐘-時(shí)鐘的相位檢測器作為相位比較器,用于比較同傳時(shí)鐘的相位和數(shù)據(jù)宿時(shí)鐘的相位。這樣,利用通過反時(shí)鐘線和同傳時(shí)鐘線建立的延遲鎖定回路,數(shù)據(jù)宿可以穩(wěn)定數(shù)據(jù)信號(hào)的相位。延遲鎖定回路分別直接控制反時(shí)鐘的相位和同傳時(shí)鐘的相位,而不是數(shù)據(jù)信號(hào)的相位。因此,在數(shù)據(jù)宿或者接口設(shè)備分別進(jìn)行無誤碼寄存時(shí),必須要進(jìn)行同傳時(shí)鐘和數(shù)據(jù)信號(hào)之間的精確相對時(shí)延匹配。
在本發(fā)明的另一優(yōu)選實(shí)施例中,在數(shù)據(jù)宿,利用數(shù)據(jù)信號(hào)本身作為基準(zhǔn)信號(hào)。利用數(shù)據(jù)-時(shí)鐘相位檢測器作為相位比較器,用于比較數(shù)據(jù)信號(hào)的相位和數(shù)據(jù)宿時(shí)鐘的相位。同樣,通過數(shù)據(jù)信號(hào)鏈路和反時(shí)鐘鏈路建立延遲鎖定回路。延遲鎖定回路現(xiàn)在分別直接控制數(shù)據(jù)宿輸入鎖存器的相關(guān)信號(hào),或者接口設(shè)備。這樣,就不再需要同傳時(shí)鐘鏈路以及與數(shù)據(jù)鏈路的相對匹配。
通過下面的詳細(xì)描述,并結(jié)合附圖,本發(fā)明的其他優(yōu)點(diǎn)、實(shí)施例和變化將會(huì)更加明顯。
通過本說明書和相關(guān)權(quán)利要求書,以及附圖,讀者將會(huì)了解本發(fā)明的其它目的和優(yōu)點(diǎn),在附圖中
反時(shí)鐘電路裝置的一般構(gòu)造包括數(shù)據(jù)源單元1和數(shù)據(jù)宿單元2,它們通過數(shù)據(jù)鏈路3和時(shí)鐘鏈路4相連。數(shù)據(jù)源單元1和數(shù)據(jù)宿單元2也可以認(rèn)為分別是數(shù)據(jù)源1或數(shù)據(jù)宿2的接口設(shè)備。數(shù)據(jù)103通過數(shù)據(jù)鏈路3從數(shù)據(jù)源1傳送到數(shù)據(jù)源2,也就是

圖1的左側(cè)傳送到右側(cè)。時(shí)鐘104則以相反方向通過時(shí)鐘鏈路4傳輸,從數(shù)據(jù)宿2傳送到數(shù)據(jù)源1。因此,該時(shí)鐘又被稱為反時(shí)鐘104或反傳播時(shí)鐘104。
數(shù)據(jù)源1有數(shù)據(jù)輸入13和數(shù)據(jù)輸出11,時(shí)鐘輸出14和時(shí)鐘輸入12。數(shù)據(jù)宿2有數(shù)據(jù)輸入21,數(shù)據(jù)輸出23,時(shí)鐘輸出22和時(shí)鐘輸入24。數(shù)據(jù)鏈路3連接數(shù)據(jù)源1的數(shù)據(jù)輸出11和數(shù)據(jù)宿2的數(shù)據(jù)輸入21。時(shí)鐘鏈路4連接數(shù)據(jù)宿2的時(shí)鐘輸出22和數(shù)據(jù)源1的時(shí)鐘輸入12。數(shù)據(jù)鏈路3和時(shí)鐘鏈路4最好通過光纖和/或電傳輸線路進(jìn)行有線連接。
數(shù)據(jù)源1包括第一鎖存器30,數(shù)據(jù)宿2包括第二鎖存器40。鎖存器一般是簡單的觸發(fā)電路,具有至少一個(gè)反饋環(huán)。在功能上,鎖存器是電平控制存儲(chǔ)函數(shù)。鎖存器經(jīng)常用于構(gòu)造更為復(fù)雜的觸發(fā)電路,因此,鎖存器有時(shí)也被稱為基本觸發(fā)電路。圖1所示鎖存器30、40確切地說是延遲鎖存器(D鎖存器)或者延遲觸發(fā)電路(D觸發(fā)電路)。鎖存器30包括數(shù)據(jù)輸入31,時(shí)鐘輸入32和數(shù)據(jù)輸出33。時(shí)鐘輸入32連接到數(shù)據(jù)源時(shí)鐘輸入12,數(shù)據(jù)輸入31連接到數(shù)據(jù)源數(shù)據(jù)輸入13,數(shù)據(jù)輸出33連接到數(shù)據(jù)源數(shù)據(jù)輸出11。數(shù)據(jù)宿提供的鎖存器40通過數(shù)據(jù)宿2的輸入和輸出21、24、23,相應(yīng)連接到它的輸入和輸出41、42、43。
圖1所示數(shù)據(jù)源單元1和數(shù)據(jù)宿單元2結(jié)構(gòu)是相同的。數(shù)據(jù)源單元1還可以通過數(shù)據(jù)輸入13和時(shí)鐘輸出14連接到另一數(shù)據(jù)源單元(未示出),其中數(shù)據(jù)源單元1代表了另一數(shù)據(jù)源單元的數(shù)據(jù)宿。通過這種方式,若干數(shù)據(jù)宿單元/數(shù)據(jù)源單元可以彼此相連。
該電路裝置的功能如下鎖存器30、40用于將數(shù)據(jù)信號(hào)103與應(yīng)用于鎖存器30、40的時(shí)鐘104、102同步。主時(shí)鐘101饋送到數(shù)據(jù)宿2的時(shí)鐘輸入24。在圖1的點(diǎn)A,主時(shí)鐘101被分割成數(shù)據(jù)宿時(shí)鐘102和反時(shí)鐘104。數(shù)據(jù)宿2和數(shù)據(jù)源1之間建立環(huán)路6,包括鎖存器30和40以及數(shù)據(jù)鏈路3和時(shí)鐘鏈路4。具體來說,開始于點(diǎn)A,主時(shí)鐘101被分割,饋送到數(shù)據(jù)源鎖存器30和數(shù)據(jù)宿鎖存器40,結(jié)束于點(diǎn)B,其中時(shí)鐘102直接來自于點(diǎn)A,數(shù)據(jù)信號(hào)103與鎖存器30中的反時(shí)鐘104同步,這兩個(gè)信號(hào)在數(shù)據(jù)宿輸入鎖存器40中再次會(huì)聚。因?yàn)闀r(shí)鐘的周期特性,時(shí)鐘相位可以有若干離散值。該電路設(shè)計(jì)并沒有考慮鏈路和/或電路傳播時(shí)延的變化。如果時(shí)延變化超過了鎖存器40的時(shí)鐘相位邊界,則鎖存器40會(huì)出現(xiàn)誤碼。
圖2示出了現(xiàn)有技術(shù)的第二反時(shí)鐘電路裝置,其中相同的標(biāo)號(hào)表示相同的電路部分或元件。該電路與圖1所示電路的不同處在于,數(shù)據(jù)宿2提供了先進(jìn)先出(FIFO)存儲(chǔ)器50,和將數(shù)據(jù)源1的同傳時(shí)鐘輸出15連接到數(shù)據(jù)宿2的同傳時(shí)鐘輸入25的同傳時(shí)鐘鏈路5。
如果數(shù)據(jù)源和數(shù)據(jù)宿工作于不同的時(shí)鐘相位或速率并且沒有超過存儲(chǔ)容量,F(xiàn)IFO存儲(chǔ)器適合作為數(shù)據(jù)傳輸?shù)木彺嫫?。FIFO 50具有數(shù)據(jù)輸入51、數(shù)據(jù)輸出52、數(shù)據(jù)輸入時(shí)鐘的第一時(shí)鐘輸入53以及數(shù)據(jù)輸出時(shí)鐘的第二時(shí)鐘輸入54。數(shù)據(jù)在數(shù)據(jù)輸入時(shí)鐘的控制下寫入FIFO 50,在數(shù)據(jù)輸出時(shí)鐘的控制下讀出。FIFO 50的數(shù)據(jù)輸入51連接到數(shù)據(jù)宿數(shù)據(jù)輸入21,F(xiàn)IFO 50的數(shù)據(jù)輸出52連接到鎖存器40的數(shù)據(jù)輸入41。針對從數(shù)據(jù)源1到數(shù)據(jù)宿2的數(shù)據(jù)傳播,同傳時(shí)鐘105被饋送到時(shí)鐘輸入53,用于控制數(shù)據(jù)在FIFO 50中的寫入。同傳時(shí)鐘105以反時(shí)鐘104的形式生成,從數(shù)據(jù)源1反饋耦合到數(shù)據(jù)宿2。數(shù)據(jù)宿2的數(shù)據(jù)宿時(shí)鐘102用于從FIFO 50中讀取數(shù)據(jù),通過第二時(shí)鐘輸入54饋送到FIFO 50。
該電路裝置補(bǔ)償FIFO 50存儲(chǔ)容量范圍內(nèi)的時(shí)鐘相位波動(dòng),但除了FIFO 50之外,它還需要第二時(shí)鐘鏈路5以及同傳輸入25和輸出15。確切地說,必須仔細(xì)地進(jìn)行經(jīng)數(shù)據(jù)宿2發(fā)送的數(shù)據(jù)103和第二時(shí)鐘鏈路5的同傳時(shí)鐘105之間的時(shí)延匹配。
圖3示出了按照本發(fā)明的電路裝置的第一實(shí)施例。其中相同的標(biāo)號(hào)表示與圖1和2相同的電路部分或元件。該電路裝置包括比較數(shù)據(jù)宿時(shí)鐘102和從數(shù)據(jù)源1發(fā)送到數(shù)據(jù)宿2的基準(zhǔn)信號(hào)105的相位的裝置60,以及基于比較控制反時(shí)鐘104的相位的裝置70,其中裝置60、70位于數(shù)據(jù)宿2。也就是說,相位比較和相位控制都由數(shù)據(jù)宿2來實(shí)現(xiàn)。本發(fā)明的一個(gè)基本思想是,利用基準(zhǔn)信號(hào)105和上述裝置60、70,相應(yīng)控制反時(shí)鐘相位,在電路裝置中建立數(shù)據(jù)宿時(shí)鐘102/反時(shí)鐘104的延時(shí)鎖定環(huán)路(DLL)7。
術(shù)語“基準(zhǔn)信號(hào)”有時(shí)用于具有高可靠性和準(zhǔn)確性的特定信號(hào),也就是說,在校準(zhǔn)其它信號(hào)時(shí)保持不變的信號(hào)。在本申請及權(quán)利要求書中,術(shù)語“基準(zhǔn)信號(hào)”具有更為廣泛的含義,代表了可以變化并且通常用于信號(hào)比較的信號(hào)。確切地說,基準(zhǔn)信號(hào)指示了信號(hào)從數(shù)據(jù)源到數(shù)據(jù)宿的傳送過程中的傳輸條件的變化。
具體來講,比較數(shù)據(jù)宿時(shí)鐘相位的裝置是時(shí)鐘-時(shí)鐘相位比較器60,控制反時(shí)鐘的裝置是移相器70?;鶞?zhǔn)信號(hào)是同傳時(shí)鐘信號(hào)105,從數(shù)據(jù)源1通過鏈路5傳送到數(shù)據(jù)宿2。因此,同傳時(shí)鐘105用于相位比較。
時(shí)鐘-時(shí)鐘相位比較器60的第一輸入61連接到數(shù)據(jù)宿2的數(shù)據(jù)宿時(shí)鐘102,第二輸入62連接到數(shù)據(jù)宿2的同傳時(shí)鐘輸入25。此外,相位比較器63的輸出63連接到移相器70的控制輸入73。移相器70具有輸入71和輸出72。輸入71連接到數(shù)據(jù)宿2的反時(shí)鐘104,輸出72連接到數(shù)據(jù)宿2的反時(shí)鐘輸出22。在數(shù)據(jù)宿2,將到來的時(shí)鐘101被分割成數(shù)據(jù)宿時(shí)鐘102和反時(shí)鐘104。
相位比較器60以時(shí)鐘-時(shí)鐘相位檢測器(CCPD)形式實(shí)現(xiàn)??梢圆捎靡话愕南辔粰z測器,例如由D觸發(fā)器構(gòu)成的簡單相位檢測器,或者基于上/下計(jì)數(shù)器的較為復(fù)雜的相位檢測器,或者集成電路形式的相位檢測器。一般的移相電路,例如本領(lǐng)域技術(shù)人員所熟知的配備有運(yùn)算放大器或者全通濾波器的全通有源網(wǎng),可以用作移相器70。確切地說,移相器的數(shù)字化實(shí)現(xiàn)可以將所有相位映射到單個(gè)時(shí)鐘周期,從而實(shí)質(zhì)上實(shí)現(xiàn)了無限的相位范圍。
按照本發(fā)明,DLL 7用于穩(wěn)定數(shù)據(jù)宿2的數(shù)據(jù)輸入鎖存器40的相位。時(shí)鐘-時(shí)鐘相位檢測器60比較數(shù)據(jù)宿時(shí)鐘102的相位和同傳時(shí)鐘105的相位,其輸出信號(hào)饋送到壓控移相器70。后者調(diào)節(jié)反傳播時(shí)鐘104的相位,使數(shù)據(jù)輸入鎖存器40的數(shù)據(jù)時(shí)鐘相位關(guān)系保持正確。DLL 7僅直接分別控制同傳時(shí)鐘105和反時(shí)鐘104的相位,而不是數(shù)據(jù)信號(hào)103的相位。因此,需要進(jìn)行同傳時(shí)鐘105和數(shù)據(jù)信號(hào)103之間的精確的相對時(shí)延匹配。在這個(gè)動(dòng)態(tài)范圍內(nèi),DLL 7通過調(diào)節(jié)反時(shí)鐘相位,能夠接受任意值,確切地說還有初始環(huán)路時(shí)延和以主動(dòng)方式對時(shí)延變化進(jìn)行補(bǔ)償。如果整個(gè)系統(tǒng)同步運(yùn)作,但頻率變化快捷,環(huán)路還補(bǔ)償因?yàn)橹鲿r(shí)鐘速率的變化而引起的相位變化。
圖3的數(shù)據(jù)宿單元2表示了按照本發(fā)明的接口設(shè)備2,它包括鎖存器40、時(shí)鐘-時(shí)鐘相位比較器60和移相器70,以及用于分別發(fā)送/接收數(shù)據(jù)信號(hào)103、時(shí)鐘101和反時(shí)鐘104的相應(yīng)輸入和輸出21、22、23、24、25,以及如圖3所示的同傳時(shí)鐘105。本發(fā)明的數(shù)據(jù)宿單元2和本發(fā)明的接口設(shè)備2可以分別通過輸入/輸出23、24與另一(未示出)數(shù)據(jù)宿單元2串聯(lián)連接。另一時(shí)鐘輸出26在圖3中以虛線示出,用于建立同傳時(shí)鐘鏈路。就數(shù)據(jù)流方向和接口設(shè)備2在接收設(shè)備序列中的位置而言,接口設(shè)備2可以被視為數(shù)據(jù)宿,同時(shí)也是數(shù)據(jù)源。
圖4示出了按照本發(fā)明的電路裝置的第二實(shí)施例,其中相同的標(biāo)號(hào)表示相同的電路部分或元件。
本發(fā)明第二實(shí)施例的基本思想是,建立對相關(guān)信號(hào),也就是反時(shí)鐘104和數(shù)據(jù)信號(hào)103的直接控制。這是利用數(shù)據(jù)信號(hào)103本身作為與數(shù)據(jù)宿時(shí)鐘102進(jìn)行相位比較的基準(zhǔn)信號(hào)來實(shí)現(xiàn)的。因此,數(shù)據(jù)信號(hào)103用于控制反時(shí)鐘104。建立延時(shí)鎖定環(huán)路(DLL)8,它現(xiàn)在直接控制數(shù)據(jù)宿2中的數(shù)據(jù)信號(hào)103和反時(shí)鐘104。
因此,與圖3所示第一實(shí)施例相比,該電路裝置并不分別需要同傳時(shí)鐘105或同傳時(shí)鐘鏈路5。此外,比較數(shù)據(jù)信號(hào)和數(shù)據(jù)宿時(shí)鐘的相位的時(shí)鐘-數(shù)據(jù)相位檢測器(CDPD)80取代了時(shí)鐘-時(shí)鐘相位檢測器60。CDPD 80的第一輸入81連接到數(shù)據(jù)宿時(shí)鐘102,CDPD 80的第二輸入82連接到數(shù)據(jù)宿2的數(shù)據(jù)信號(hào)輸入21。CDPD 80的輸出83連接到移相器70的控制輸入73,用于根據(jù)數(shù)據(jù)信號(hào)103和數(shù)據(jù)宿時(shí)鐘102的相位關(guān)系控制反時(shí)鐘的相位。
時(shí)鐘數(shù)據(jù)相位檢測器(CDPD)為本領(lǐng)域技術(shù)人員所熟知。時(shí)鐘數(shù)據(jù)相位檢測器例如公開在Journal of Lightwave Technology,1985年12月第6期,LT-3卷,第1312-1314頁由Charles R.Hogge發(fā)表的“a self correcting clock recovery circuit”,并公開在美國專利第4535459號(hào),這里通過引用將其并入本申請。
第二實(shí)施例的優(yōu)點(diǎn)在于,同傳時(shí)鐘輸入15和輸出25,同傳時(shí)鐘鏈路5和與時(shí)鐘的時(shí)延匹配都不再需要。DLL 8得到了最優(yōu)使用。
圖4的數(shù)據(jù)宿單元2還表示了按照本發(fā)明的接口設(shè)備2,它包括鎖存器40、時(shí)鐘-時(shí)鐘相位檢測器80、移相器70以及用于分別發(fā)送/接收數(shù)據(jù)信號(hào)103、時(shí)鐘101和反時(shí)鐘104的相應(yīng)輸入和輸出21、22、23、24,如圖4所示。按照本發(fā)明的接口設(shè)備2可以通過輸入/輸出23、24與另一(未示出)數(shù)據(jù)宿單元2串聯(lián)連接。就數(shù)據(jù)流方向和接口設(shè)備2在接收設(shè)備序列中的位置而言,接口設(shè)備2可以被視為數(shù)據(jù)宿,同時(shí)也是數(shù)據(jù)源。
此外,在圖3的時(shí)鐘-時(shí)鐘相位檢測器60(CCPD)和移相器70之間,或者在圖4的時(shí)鐘-數(shù)據(jù)相位檢測器80(CDPD)和移相器70之間可以提供環(huán)路濾波器(未示出)。環(huán)路濾波器用于確定控制環(huán)路頻率特性,例如增益、帶寬和峰值,以及暫態(tài)行為,例如時(shí)間常數(shù)、阻尼、過沖。各種類型的積分、微分、比例環(huán)路濾波器或其組合都可以用作這種環(huán)路濾波器。
權(quán)利要求
1.一種數(shù)據(jù)信號(hào)相位控制方法,包括以下步驟從數(shù)據(jù)源(1)發(fā)送數(shù)據(jù)信號(hào)(103)給數(shù)據(jù)宿(2);從所述數(shù)據(jù)宿(2)發(fā)送反時(shí)鐘(104)給所述數(shù)據(jù)源(1);在所述數(shù)據(jù)源(1)將所述數(shù)據(jù)信號(hào)(103)與所述反時(shí)鐘(104)同步;在所述數(shù)據(jù)宿(2)將所述數(shù)據(jù)信號(hào)(103)與數(shù)據(jù)宿時(shí)鐘(102)同步;其特征還在于以下步驟在數(shù)據(jù)宿(2),比較所述數(shù)據(jù)宿時(shí)鐘(102)的相位和基準(zhǔn)信號(hào)(103、105)的相位;在數(shù)據(jù)宿(2),基于數(shù)據(jù)宿時(shí)鐘(102)和基準(zhǔn)信號(hào)(103、105)的所述相位比較,調(diào)整所述反時(shí)鐘(104)的相位。
2.按照權(quán)利要求1的方法,其中所述反時(shí)鐘(104)從數(shù)據(jù)源(1)反饋耦合到數(shù)據(jù)宿(2),用于提供同傳時(shí)鐘(105),其特征在于,所述同傳時(shí)鐘(105)用作所述基準(zhǔn)信號(hào),時(shí)鐘-時(shí)鐘相位比較器(60)用來比較所述同傳時(shí)鐘(105)和所述數(shù)據(jù)宿時(shí)鐘(102)的相位。
3.按照權(quán)利要求1的方法,其特征在于,所述數(shù)據(jù)信號(hào)(103)用作所述基準(zhǔn)信號(hào),數(shù)據(jù)-時(shí)鐘相位檢測器(80)用來比較所述數(shù)據(jù)宿時(shí)鐘(102)和所述數(shù)據(jù)信號(hào)(103)的相位。
4.一種進(jìn)行數(shù)據(jù)信號(hào)(103)相位控制的接口設(shè)備,其中將數(shù)據(jù)信號(hào)(103)從數(shù)據(jù)源(1)發(fā)送到所述接口設(shè)備(2),該設(shè)備包括數(shù)據(jù)信號(hào)輸入(21)、數(shù)據(jù)信號(hào)輸出(23)、時(shí)鐘輸入(24)、時(shí)鐘輸出(22)和鎖存器(40),其鎖存器輸入(41)連接到數(shù)據(jù)信號(hào)輸入(21),鎖存器輸出(43)連接到數(shù)據(jù)信號(hào)輸出(23),鎖存器時(shí)鐘輸入(42)連接到所述接口設(shè)備(2)的接口時(shí)鐘輸入(24),其特征在于,所述接口設(shè)備(2)還包括移相器(70),具有輸入(71)、輸出(72)和控制輸入(73),其中所述移相器輸入(71)連接到接口時(shí)鐘輸入(24),所述移相器輸出(72)連接到接口時(shí)鐘輸出(22),以及比較數(shù)據(jù)宿時(shí)鐘(102)相位和基準(zhǔn)信號(hào)(103、105)相位的裝置(60、80),前述數(shù)據(jù)宿時(shí)鐘(102)應(yīng)用于所述鎖存器(40)的所述時(shí)鐘輸入(42),其中所述比較裝置(60、80)基于所述相位比較,通過移相器控制輸入(73)控制所述移相器(70)。
5.按照權(quán)利要求4的接口設(shè)備,包括關(guān)于數(shù)據(jù)信號(hào)(103)的同傳時(shí)鐘(105)的另一時(shí)鐘輸入(25),其特征在于,所述同傳時(shí)鐘(105)用作所述基準(zhǔn)信號(hào),所述相位比較裝置是時(shí)鐘-時(shí)鐘相位比較器(60),其第一時(shí)鐘輸入(62)連接所述另一時(shí)鐘輸入(25),第二時(shí)鐘輸入(61)連接所述接口設(shè)備(2)的所述數(shù)據(jù)宿時(shí)鐘(102),其輸出(63)連接所述移相器(70)的控制輸入(73)。
6.按照權(quán)利要求4的接口設(shè)備,其特征在于,所述數(shù)據(jù)信號(hào)(103)用作所述基準(zhǔn)信號(hào),所述相位比較裝置是數(shù)據(jù)-時(shí)鐘相位檢測器(80),其第一輸入(82)連接所述接口數(shù)據(jù)輸入(21),第二輸入(81)連接所述接口設(shè)備(2)的所述數(shù)據(jù)宿時(shí)鐘(102),其輸出(83)連接所述移相器(70)的控制輸入(73)。
7.一種反時(shí)鐘電路裝置,用于控制數(shù)據(jù)源(1)發(fā)送給數(shù)據(jù)宿(2)的數(shù)據(jù)信號(hào)(103)的相位,包括,數(shù)據(jù)源(1)的第一鎖存器(30),數(shù)據(jù)宿(2)的第二鎖存器(40),數(shù)據(jù)信號(hào)鏈路(3)和反時(shí)鐘鏈路(4),其中第一鎖存器(30)具有關(guān)于數(shù)據(jù)源(1)的進(jìn)入數(shù)據(jù)信號(hào)(103)的數(shù)據(jù)信號(hào)輸入(31),從數(shù)據(jù)源發(fā)送數(shù)據(jù)信號(hào)(103)給數(shù)據(jù)宿的數(shù)據(jù)信號(hào)輸出(33),以及連接到反時(shí)鐘(104)的時(shí)鐘輸入(32),第二鎖存器(40)具有從數(shù)據(jù)源(1)接收所述數(shù)據(jù)信號(hào)(103)的數(shù)據(jù)信號(hào)輸入(41),數(shù)據(jù)信號(hào)輸出(43),以及連接到數(shù)據(jù)宿時(shí)鐘(102)的時(shí)鐘輸入(42),其中所述數(shù)據(jù)信號(hào)(103)通過所述數(shù)據(jù)信號(hào)鏈路(3),從數(shù)據(jù)源(1)發(fā)送給數(shù)據(jù)宿(2),在數(shù)據(jù)宿(2),將時(shí)鐘(101)分割成所述數(shù)據(jù)宿時(shí)鐘(102)和所述反時(shí)鐘(104),從數(shù)據(jù)宿(2)將所述反時(shí)鐘通過所述反時(shí)鐘鏈路(4)發(fā)送給數(shù)據(jù)源(1),其特征在于,該電路裝置還包括在數(shù)據(jù)宿(2),比較所述數(shù)據(jù)宿時(shí)鐘(102)相位和基準(zhǔn)信號(hào)(103、105)相位的裝置(60、80),以及對所述反時(shí)鐘(104)進(jìn)行相位偏移的移相器(70),其中所述裝置(60、80)基于所述數(shù)據(jù)宿時(shí)鐘(102)的所述相位比較控制所述移相器(70)。
8.按照權(quán)利要求7的反時(shí)鐘電路裝置,包括從數(shù)據(jù)源(1)通過同傳時(shí)鐘鏈路(5)發(fā)送給數(shù)據(jù)宿(2)的同傳時(shí)鐘(105),用作從數(shù)據(jù)源(1)反饋耦合到數(shù)據(jù)宿(2)的所述反傳播時(shí)鐘(104),其特征在于,所述同傳時(shí)鐘(105)用作所述基準(zhǔn)信號(hào),所述相位比較裝置是時(shí)鐘-時(shí)鐘相位比較器(60),其第一輸入(61)連接所述數(shù)據(jù)宿時(shí)鐘(102),第二輸入(62)連接所述同傳時(shí)鐘(105),其輸出(63)連接所述移相器(70)的控制輸入(73)。
9.按照權(quán)利要求7的反時(shí)鐘電路裝置,其中,所述數(shù)據(jù)信號(hào)(103)用作所述基準(zhǔn)信號(hào)(103、105),所述相位比較裝置是數(shù)據(jù)-時(shí)鐘相位檢測器(80),其第一輸入(81)連接數(shù)據(jù)宿時(shí)鐘(102),第二輸入(82)連接數(shù)據(jù)信號(hào)(103),其輸出(83)連接所述移相器(70)的控制輸入(73)。
全文摘要
本發(fā)明涉及一種數(shù)據(jù)信號(hào)的相位控制方法,前述信號(hào)以反時(shí)鐘方式從數(shù)據(jù)源傳送到數(shù)據(jù)宿,其中在數(shù)據(jù)宿比較數(shù)據(jù)宿時(shí)鐘的相位和基準(zhǔn)信號(hào)的相位,數(shù)據(jù)宿基于所述相位比較調(diào)整反時(shí)鐘的相位。本發(fā)明還涉及用以實(shí)現(xiàn)按照本發(fā)明方法的一種反時(shí)鐘電路裝置和接口設(shè)備。
文檔編號(hào)H04L7/00GK1455411SQ0312410
公開日2003年11月12日 申請日期2003年4月29日 優(yōu)先權(quán)日2002年5月2日
發(fā)明者安德烈亞斯·赫布, 馬丁·米特里希 申請人:阿爾卡特公司
網(wǎng)友詢問留言 已有0條留言
  • 還沒有人留言評(píng)論。精彩留言會(huì)獲得點(diǎn)贊!
1