日韩成人黄色,透逼一级毛片,狠狠躁天天躁中文字幕,久久久久久亚洲精品不卡,在线看国产美女毛片2019,黄片www.www,一级黄色毛a视频直播

分?jǐn)?shù)標(biāo)度數(shù)字信號處理的制作方法

文檔序號:11236781閱讀:1220來源:國知局
分?jǐn)?shù)標(biāo)度數(shù)字信號處理的制造方法與工藝

本公開大體上涉及數(shù)字信號處理,且具體來說,本公開涉及利用濾波器組件的組合件的信號處理系統(tǒng)的構(gòu)建,通過拉普拉斯函數(shù)限定至少一個濾波器組件,其中所述拉普拉斯函數(shù)包含具有可變分?jǐn)?shù)標(biāo)度指數(shù)的非整數(shù)控制階。



背景技術(shù):

存在用于對所關(guān)注系統(tǒng)的數(shù)字表示進(jìn)行濾波或另外建模的眾多信號處理技術(shù)。然而,由于處理技術(shù)的人為因素,此類信號處理技術(shù)在準(zhǔn)確地對所關(guān)注系統(tǒng)進(jìn)行濾波和重建的能力方面受到限制。人為因素常常表現(xiàn)為波紋、較寬的過渡帶寬、緩慢滾降等。人為因素還可以混淆或更改被濾波的數(shù)據(jù)集合內(nèi)的相關(guān)信號,從而導(dǎo)致來自所述信號的信息的損失。



技術(shù)實(shí)現(xiàn)要素:

根據(jù)本公開的方面,用于處理數(shù)字信號的方法包括:識別在頻域表示中表示的所需要的頻率和/或相位響應(yīng);以及構(gòu)建對所需要的頻率和/或相位響應(yīng)進(jìn)行建模的分?jǐn)?shù)階控制系統(tǒng)。分?jǐn)?shù)階控制系統(tǒng)通過從濾波器組件庫中組裝至少一個濾波器組件構(gòu)建而成,濾波器組件庫包括積分器組件、微分器組件、低通濾波器組件、高通濾波器組件、高頻率放大器組件、低頻率放大器組件,和共振濾波器組件。通過拉普拉斯函數(shù)限定至少一個濾波器組件,其中所述拉普拉斯函數(shù)包含具有可變分?jǐn)?shù)標(biāo)度指數(shù)的非整數(shù)控制階。方法還包括:接收處于數(shù)字頻域的輸入;基于分?jǐn)?shù)階控制系統(tǒng)處理所述輸入以產(chǎn)生數(shù)字輸出;以及傳送所產(chǎn)生的數(shù)字輸出信號。

根據(jù)本公開的其它方面,提供了用于產(chǎn)生頻率和/或相位修改的數(shù)字信號輸出的數(shù)字信號合成器。數(shù)字信號合成器包括輸入緩沖器、變換模塊、處理模塊,和輸出緩沖器。輸入緩沖器接收在頻域表示(例如,bode空間)中表示的數(shù)字輸入。變換模塊存儲由至少一個濾波器組件的組合件限定的對所需要的頻率和/或相位響應(yīng)進(jìn)行建模的分?jǐn)?shù)階控制系統(tǒng),所述至少一個濾波器組件包括積分器組件、微分器組件、低通濾波器組件、高通濾波器組件、高頻率放大器組件、低頻率放大器組件,和共振濾波器組件。通過拉普拉斯函數(shù)限定至少一個濾波器組件,其中所述拉普拉斯函數(shù)包含具有可變分?jǐn)?shù)標(biāo)度指數(shù)的非整數(shù)控制階。處理模塊利用存儲在變換模塊中的分?jǐn)?shù)階控制系統(tǒng)對數(shù)字輸入進(jìn)行乘或除。另外,輸出緩沖器存儲輸入的合成輸出,該合成輸出根據(jù)存儲在變換模塊中的分?jǐn)?shù)階控制系統(tǒng)在頻域、相域或兩者中被修改。

附圖說明

圖1a是包含幅值相關(guān)濾波器組件的濾波器組件庫的框圖,其中示意性地示出了正頻率;

圖1b是為了包含二階幅值相關(guān)濾波器組件而對圖1a中的庫進(jìn)行的擴(kuò)展,其中示意性地示出了正頻率;

圖2a是包含相位相關(guān)濾波器組件的濾波器組件庫的框圖,其中示意性地示出了正頻率;

圖2b是為了包含二階相位相關(guān)濾波器組件而對圖2a中的庫進(jìn)行的擴(kuò)展,其中示意性地示出了正頻率;

圖3是說明使用至少一個濾波器組件處理數(shù)字信號的方法的流程圖,通過拉普拉斯函數(shù)限定至少一個濾波器組件,其中所述拉普拉斯函數(shù)包含具有可變分?jǐn)?shù)標(biāo)度指數(shù)的非整數(shù)控制階;

圖4是說明與圖3的方法協(xié)作的校正相位的方法的流程圖;

圖5是說明使用就圖1至圖4闡述的特征中的一個或多個的數(shù)字信號合成器的框圖;

圖6a是在頻域表示中表示為分?jǐn)?shù)標(biāo)度低通濾波器響應(yīng)的實(shí)例性所需要的頻率響應(yīng);

圖6b是來自圖1a的濾波器組件庫的低通濾波器組件的實(shí)例性響應(yīng);

圖6c是來自圖1a的濾波器組件庫的高頻率放大器組件的實(shí)例性響應(yīng),和當(dāng)高頻率放大器組件與圖6b的低通濾波器組件組合從而實(shí)現(xiàn)分?jǐn)?shù)階控制系統(tǒng)時的結(jié)果的響應(yīng);

圖6d是來自圖1a的濾波器組件庫的積分器組件的實(shí)例性響應(yīng);

圖6e是演示在設(shè)計(jì)濾波器時選擇組件值的實(shí)例性方法的圖表;

圖6f是構(gòu)建成經(jīng)由圖6c的分?jǐn)?shù)階控制系統(tǒng)實(shí)施圖6a的所需要的頻率響應(yīng)的實(shí)例性系統(tǒng);

圖7是使用現(xiàn)場可編程門陣列來實(shí)施數(shù)字濾波器的示意性實(shí)例性實(shí)施方案,通過拉普拉斯函數(shù)限定至少一個濾波器組件,其中所述拉普拉斯函數(shù)包含具有可變分?jǐn)?shù)標(biāo)度指數(shù)的非整數(shù)控制階;

圖8是能夠?qū)嵭斜疚木蜕蠄D更全面地描述的系統(tǒng)和方法的計(jì)算機(jī)的示意性表示。

具體實(shí)施方式

本公開的方面提供了分?jǐn)?shù)標(biāo)度方法、系統(tǒng)和計(jì)算機(jī)程序產(chǎn)品,其利用基于非整數(shù)的分?jǐn)?shù)微積分來實(shí)施分?jǐn)?shù)濾波(例如,分?jǐn)?shù)標(biāo)度、分?jǐn)?shù)相移、分?jǐn)?shù)積分、分?jǐn)?shù)微分等),以執(zhí)行需要處理存在于頻域中或可以被轉(zhuǎn)換為頻域的數(shù)字信號的解決方案。

分?jǐn)?shù)標(biāo)度濾波器組件庫介紹

如將在本文中更詳細(xì)地描述,系統(tǒng)、方法和計(jì)算機(jī)程序產(chǎn)品利用了分?jǐn)?shù)標(biāo)度數(shù)字濾波器組(即,濾波器組件庫),其包括以各種配置組裝以構(gòu)建復(fù)雜信號處理系統(tǒng)的基本構(gòu)造塊(在本文被稱作分?jǐn)?shù)標(biāo)度數(shù)字濾波器組件)。至少一個分?jǐn)?shù)標(biāo)度數(shù)字濾波器組件將分?jǐn)?shù)冪標(biāo)度指數(shù)(fractionalpowerscalingexponent)(在本文標(biāo)示為β)并入到對應(yīng)的預(yù)定義傳遞函數(shù)的非整數(shù)控制階中。

在下文列出的濾波器組件形式中,下標(biāo)指示在解出所描述的傳遞函數(shù)的幅值和相位之后引入標(biāo)度指數(shù)的形式,且提供所述下標(biāo)是為了使解出相關(guān)聯(lián)的幅值和相位方程會相對更加簡單。

而且,在整個說明書中,在復(fù)數(shù)離散傅里葉變換的正頻率中依據(jù)β寫出傳遞函數(shù)方程,β表示在繪制為功率譜時斜率的負(fù)數(shù),其中功率在縱坐標(biāo)軸上,而頻率在橫坐標(biāo)軸上。因此,標(biāo)度指數(shù)在表示幅度譜時是β/2,其為功率譜的斜率的一半。本文的傳遞函數(shù)全部是呈幅度譜的形式。

第一實(shí)例性構(gòu)造塊是具有形式且對輸入信號執(zhí)行分?jǐn)?shù)積分的積分器組件。相應(yīng)地,實(shí)例性微分器組件具有形式并對輸入信號執(zhí)行分?jǐn)?shù)微分。

實(shí)例性低通濾波器組件具有形式其使低頻率通過且使高頻率衰減。出于方便起見,低通濾波器組件還可以通過二階形式寫為同樣地,實(shí)例性高通濾波器組件具有形式其使高頻率通過且使低頻率衰減。對應(yīng)的二階高通濾波器具有形式

高頻率放大器組件具有形式其放大高頻率且使低頻率通過。出于方便起見,高頻率放大器組件還可以通過二階形式寫為同樣地,低頻率放大器組件具有形式其放大低頻率且使高頻率通過。對應(yīng)的二階低頻率放大器組件具有形式

實(shí)例性共振濾波器組件具有形式共振濾波器使低頻率通過且使高頻率衰減。阻尼系數(shù)'d'控制共振峰行為。還可以修改共振濾波器以得到“反共振”濾波器,其基本上是相同的共振濾波器,使用輸入對其去卷積。這通過在復(fù)數(shù)頻域中時進(jìn)行除法而非乘法來完成。本公開獨(dú)特之處在于,可以在共振方程內(nèi)對標(biāo)度指數(shù)進(jìn)行微調(diào)(tweak),以允許調(diào)整共振峰的底部處的寬度,從而允許更精細(xì)的調(diào)諧。值得注意的是,這種能力對于常規(guī)共振濾波器是不實(shí)際的,常規(guī)共振濾波器在常規(guī)的基于二階整數(shù)的共振方程的情況下或多或少是固定寬度。值得注意的是,標(biāo)度指數(shù)還可能影響峰的高度,其可能需要在針對特定應(yīng)用進(jìn)行設(shè)計(jì)時考慮進(jìn)去。

由于本文更全面地陳述的分?jǐn)?shù)標(biāo)度數(shù)字濾波器是可逆的,因此本文的濾波器組件可以用于修改信號。然后,可以通過利用相同濾波器組件將經(jīng)修改的信號去卷積而將該信號轉(zhuǎn)換回到原始信號。

在以下論述中,參考圖1a、圖1b、圖2a和圖2b,提供了基于分?jǐn)?shù)標(biāo)度拉普拉斯傳遞函數(shù)的系統(tǒng),其中可以彼此獨(dú)立地對幅值和相位進(jìn)行處理。然而,實(shí)際上,對于給定的分?jǐn)?shù)標(biāo)度拉普拉斯傳遞函數(shù),實(shí)現(xiàn)了幅值和相位傳遞響應(yīng)。因此,設(shè)計(jì)者可以基于來自相同分?jǐn)?shù)標(biāo)度拉普拉斯傳遞函數(shù)、僅來自幅值、僅來自相位、來自幅值與完全不相關(guān)的相位的混合、其組合等的幅值和相位進(jìn)行設(shè)計(jì),以產(chǎn)生所需要的濾波效果。然而,為了模擬具有幅值和相位變化兩者的系統(tǒng),隨后應(yīng)該使用來自相同分?jǐn)?shù)標(biāo)度拉普拉斯傳遞函數(shù)的幅值和對應(yīng)的相位。由于本文系統(tǒng)中的幅值和相位的獨(dú)立性,脫離相位而對幅值單獨(dú)進(jìn)行了論述。

幅值相關(guān)分?jǐn)?shù)標(biāo)度濾波器組件介紹

現(xiàn)在參考附圖,且具體參考圖1a,濾波器組件庫100包含以完全獨(dú)立于相位的幅值表達(dá)的濾波器組件。實(shí)際上,濾波器組件庫100可以具有特定應(yīng)用規(guī)定數(shù)量的濾波器組件。然而,為了論述的清晰性,說明性濾波器組件庫100包含以下識別的七個濾波器組件中的一個或多個(以任何組合)。

第一實(shí)例性基于幅值的濾波器組件是以幅值表達(dá)的積分器組件102,其表示在根據(jù)bode空間中的正頻率繪制時具有負(fù)斜率的函數(shù)。第二實(shí)例性基于幅值的濾波器組件是以幅值表達(dá)的微分器組件104,其表示在根據(jù)bode空間中的正頻率繪制時具有正斜率的函數(shù)。

第三實(shí)例性基于幅值的濾波器組件是以幅值表達(dá)的低通濾波器組件106。低通濾波器組件106表示在根據(jù)bode空間中的正頻率繪制時使所限定的通頻帶中的低頻率通過且使衰減頻帶中的高頻率衰減的低通函數(shù)。第四實(shí)例性基于幅值的濾波器組件是以幅值表達(dá)的高通濾波器組件108。高通濾波器組件108表示在根據(jù)bode空間中的正頻率繪制時使所限定的通頻帶中的高頻率通過且使衰減頻帶中的低頻率衰減的高通函數(shù)。

第五實(shí)例性基于幅值的濾波器組件是以幅值表達(dá)的高頻率放大器組件110。同樣地,低通濾波器組件106、高頻率放大器組件110使低頻率通過。然而,高頻率放大器組件110在根據(jù)bode空間中的正頻率繪制時將高頻率放大(與由低通濾波器組件106展現(xiàn)的高頻率的衰減形成對比)。同樣地,第六實(shí)例性基于幅值的濾波器組件是以幅值表達(dá)的低頻率放大器組件112。低頻率放大器組件112使高頻率通過。然而,低頻率放大器組件112在根據(jù)bode空間中的正頻率繪制時將低頻率放大(與由高通濾波器組件108展現(xiàn)的低頻率的衰減形成對比)。

第七實(shí)例性基于幅值的濾波器組件是在根據(jù)bode空間中的正頻率繪制時以幅值限定的共振濾波器組件114。共振濾波器組件114使低頻率通過,且使高頻率衰減,與低通濾波器組件106很像。然而,共振濾波器組件114在從通頻帶到衰減頻帶的過渡處展現(xiàn)出共振峰。共振峰的高度受阻尼系數(shù)d影響。

參見圖1b,對濾波器組件庫100進(jìn)行擴(kuò)展以包含更高階函數(shù)可能比較方便。例如,對說明性濾波器組件庫進(jìn)行擴(kuò)展以包含實(shí)例性第八基于幅值的濾波器組件,其被實(shí)施為在根據(jù)bode空間中的正頻率繪制時以幅值表達(dá)的二階低通濾波器組件116。同樣地,第九實(shí)例性基于幅值的濾波器組件被實(shí)施為在根據(jù)bode空間中的正頻率繪制時以幅值表達(dá)的二階高通濾波器組件118。

另外,第十實(shí)例性基于幅值的濾波器組件被實(shí)施為在根據(jù)bode空間中的正頻率繪制時以幅值表達(dá)的二階高頻率放大器濾波器組件120。類似地,第十一實(shí)例性基于幅值的濾波器組件被實(shí)施為在根據(jù)bode空間中的正頻率繪制時以幅值表達(dá)的二階低頻率放大器組件122。

實(shí)際上,濾波器組件庫100可以包含圖1a至圖1b中說明的濾波器組件102-122中的一個或多個。另外,可以通過代入β/2作為拉普拉斯傳遞函數(shù)的控制階在濾波器組件庫100中實(shí)施其它濾波器組件形式(即,從拉普拉斯方程導(dǎo)出的濾波器函數(shù))。

通過具有擴(kuò)展對應(yīng)頻域表示(例如,bode空間)中的正頻率和負(fù)頻率兩者的整個范圍的響應(yīng)的傳遞函數(shù)來限定濾波器組件。就此而言,是通過濾波器組件的組合的相互作用導(dǎo)出了所需要的頻率響應(yīng),其中每個濾波器組件覆蓋整個頻譜。

相位導(dǎo)出的分?jǐn)?shù)標(biāo)度濾波器組件介紹

參見圖2a,濾波器組件庫200包含以獨(dú)立于幅值的相位表達(dá)的濾波器組件。實(shí)際上,濾波器組件庫200可以具有特定應(yīng)用規(guī)定數(shù)量的濾波器組件。然而,為了論述的清晰性,說明性濾波器組件庫200包含以下識別的七個相位相關(guān)濾波器組件中的一個或多個(以任何組合)。

第一實(shí)例性相位相關(guān)濾波器組件是以相位表達(dá)為的積分器組件202。值得注意的是,所述相位在正bode空間中不變化。然而,對于其中β=2的實(shí)例性實(shí)施方案,相位跨越整個正頻率移位-90度。類似地,第二實(shí)例性相位相關(guān)濾波器組件是以相位表達(dá)為的微分器組件204。類似于積分器組件202,相位在正bode空間中不變化。然而,對于其中β=2的實(shí)例性實(shí)施方案,相位跨越整個正頻率移位+90度。

第三實(shí)例性相位相關(guān)濾波器組件是以相位限定為的低通濾波器組件206。實(shí)際上,相移在端點(diǎn)低頻率處是0,且隨著頻率增加,在端點(diǎn)高頻率處轉(zhuǎn)變?yōu)?90度的頻率。在β=2且k=0.01的實(shí)例性實(shí)施方案中,相位在k=0.01的增益下經(jīng)過-45度。類似地,第四實(shí)例性相位相關(guān)濾波器組件是以相位表達(dá)為的高通濾波器組件208。實(shí)際上,相移在端點(diǎn)高頻率處是0,且隨著頻率減小,在端點(diǎn)低頻率處轉(zhuǎn)變?yōu)?90度。在β=2且k=0.01的實(shí)例性實(shí)施方案中,相位在k=0.01的增益下經(jīng)過-45度。

第五實(shí)例性相位相關(guān)濾波器組件是以相位限定為的高頻率放大器組件210。實(shí)際上,相移在端點(diǎn)低頻率處是0,且隨著頻率增加,在端點(diǎn)高頻率處轉(zhuǎn)變?yōu)?90度的頻率。在β=2且k=0.01的實(shí)例性實(shí)施方案中,相位在k=0.01的增益下經(jīng)過45度。類似地,第六實(shí)例性相位相關(guān)濾波器組件是以相位表達(dá)為的低頻率放大器濾波器組件212。實(shí)際上,相移在端點(diǎn)低頻率處是+90,且隨著頻率減小,在端點(diǎn)高頻率處轉(zhuǎn)變?yōu)?度。在β=2且k=0.01的實(shí)例性實(shí)施方案中,相位在k=0.01的增益下經(jīng)過45度。

第七實(shí)例性相位相關(guān)濾波器組件是以相位表達(dá)為的共振濾波器組件214。共振濾波器組件214的相位響應(yīng)對于低頻率是0度,且在從通頻帶到阻帶的過渡處逐步降低到-180度。在β=2且k=0.01的實(shí)例性實(shí)施方案中,相位在k=0.01的增益下經(jīng)過-90度。

參考圖2b,與幅值組件一樣,對濾波器組件庫200進(jìn)行擴(kuò)展以包含更高階函數(shù)可能比較方便。

例如,對說明性濾波器組件庫200進(jìn)行擴(kuò)展以包含第八實(shí)例性基于相位的濾波器組件,其被實(shí)施為以相位表達(dá)為的二階低通濾波器組件216。實(shí)際上,相移在端點(diǎn)低頻率處是0,且隨著頻率增加,在端點(diǎn)高頻率處轉(zhuǎn)變?yōu)?180度的頻率。在β=2且k=0.01的實(shí)例性實(shí)施方案中,相位在k=0.01的增益下經(jīng)過-90度。

第九實(shí)例性相位相關(guān)濾波器組件是基于相位的濾波器組件,其被實(shí)施為以相位表達(dá)為的二階高通濾波器組件218。

實(shí)際上,相移在端點(diǎn)高頻率處是0,且隨著頻率減小,在端點(diǎn)低頻率處轉(zhuǎn)變?yōu)?180度。在β=2且k=0.01的實(shí)例性實(shí)施方案中,相位在k=0.01的增益下經(jīng)過-90度。

第十實(shí)例性相位相關(guān)濾波器組件被實(shí)施為以相位表達(dá)為的二階高頻率放大器組件220。實(shí)際上,相移在端點(diǎn)低頻率處是0,且隨著頻率增加,在端點(diǎn)高頻率處轉(zhuǎn)變?yōu)?180度的頻率。在β=2且k=0.01的實(shí)例性實(shí)施方案中,相位在k=0.01的增益下經(jīng)過90度。類似地,實(shí)例性第十一相位相關(guān)濾波器組件被實(shí)施為以相位表達(dá)為的二階低頻率放大器組件222。實(shí)際上,相移在端點(diǎn)低頻率處是+180,且隨著頻率增加,在端點(diǎn)高頻率處轉(zhuǎn)變?yōu)?度。在β=2且k=0.01的實(shí)例性實(shí)施方案中,相位在k=0.01的增益下經(jīng)過90度。

實(shí)際上,濾波器組件庫200可以包含圖2a到圖2b中說明的濾波器組件202至222中的一個或多個。另外,可以通過代入β/2作為拉普拉斯傳遞函數(shù)的控制階在濾波器組件庫200中實(shí)施其它濾波器組件形式(即,從拉普拉斯方程導(dǎo)出的濾波器函數(shù))。

通過具有擴(kuò)展對應(yīng)頻域表示(例如,bode空間)中的正頻率和負(fù)頻率兩者的整個范圍的響應(yīng)的傳遞函數(shù)來限定濾波器組件。就此而言,是通過濾波器組件的組合的相互作用導(dǎo)出了所需要的相位響應(yīng),其中每個濾波器組件覆蓋整個頻譜。

共同地參考圖1a、圖1b、圖2a和圖2b,在針對圖1a至圖1b中的濾波器組件102至122和相應(yīng)地圖2a至圖2b中的濾波器組件202至222中的每一個示出的濾波器函數(shù)的示意性表示中,僅示出了正頻率。

實(shí)際上,圖1a至圖1b中說明的濾波器組件庫100和圖2a至圖2b中說明的濾波器組件庫200可以實(shí)施為兩個或更多個單獨(dú)的庫,例如,一個庫用于幅值,而單獨(dú)的庫用于相位。替代地,圖1a至圖1b中說明的濾波器組件庫100和圖2a至圖2b中說明的濾波器組件庫200可以整合為單個組件庫。

另外,可以通過標(biāo)度因數(shù)k來標(biāo)度例如以上在圖1a、圖1b、圖2a和圖2b中描述的濾波器組件中的任一個。標(biāo)度因數(shù)k可以用于使頻譜上的響應(yīng)的幅值上下移位。因此,標(biāo)度因數(shù)k是應(yīng)用于整個濾波器的乘數(shù)。標(biāo)度因數(shù)k可用于例如調(diào)整濾波器以與傳入的信號正確地對準(zhǔn)。作為另一實(shí)例,標(biāo)度因數(shù)k同時可以用于使通頻帶成為放大頻帶。

濾波器庫100、200(或其作為單獨(dú)庫的組合或組合為單個庫)可以整合到數(shù)字信號處理芯片(例如,fpga、asic等)中。作為另一實(shí)例,可以在計(jì)算機(jī)平臺中(例如,在例如運(yùn)行l(wèi)inux處理器的嵌入式系統(tǒng)等分立裝置中)、在桌上型計(jì)算機(jī)上,或在需要實(shí)施數(shù)字信號處理的任何其它環(huán)境中將濾波器庫100、200實(shí)施為函數(shù)庫。

數(shù)字信號處理方法

參考圖3,提供了用于處理數(shù)字信號的方法300。方法包括在302處識別在頻域表示中表示的所需要的頻率和/或相位響應(yīng)。在說明性實(shí)施方案中,頻域表示是bode空間。

方法還包括在304通過組裝來自濾波器組件庫的至少一個濾波器組件而構(gòu)建對所需要的頻率和/或相位響應(yīng)進(jìn)行建模的分?jǐn)?shù)階控制系統(tǒng)。如本文就圖1a至圖2b更詳細(xì)地描述的那樣,濾波器組件庫(或庫)可以包含以下至少之一:積分器組件、微分器組件、低通濾波器組件、高通濾波器組件、高頻率放大器組件、低頻率放大器組件,和共振濾波器組件。與常規(guī)濾波器組件不同的是,通過拉普拉斯函數(shù)限定每個濾波器組件,對拉普拉斯函數(shù)進(jìn)行了修改以使其包含具有可變分?jǐn)?shù)標(biāo)度指數(shù)β的非整數(shù)控制階。

值得注意的是,分?jǐn)?shù)標(biāo)度指數(shù)β可以是整數(shù)值和非整數(shù)值。然而,控制階被限定為β/2,從而使得一個或多個濾波器組件能夠具有非整數(shù)值的控制階。就此而言,標(biāo)度指數(shù)(從線性最小二乘擬合到功率譜)修改對應(yīng)的拉普拉斯函數(shù),在就圖1a至圖2b進(jìn)行的論述中以方程形式提供其實(shí)例。

如本文將更詳細(xì)地描述的那樣,通過以下操作來實(shí)施構(gòu)建分?jǐn)?shù)階控制系統(tǒng):在跨越頻域表示中的頻率范圍的單個方向上逐步工作(例如,從最低頻率逐步工作到最高頻率),并針對所需要的頻率和/或相位響應(yīng)中的每個變化,裝配來自濾波器組件庫的至少一個相關(guān)聯(lián)的濾波器組件以與所需要的頻率和/或相位響應(yīng)中的對應(yīng)變化匹配。

方法300還可以包括將濾波器組件庫中的濾波器組件中的每一個限定為具有在頻域表示中的整個頻率范圍延伸的響應(yīng)。就此而言,在跨越頻域表示中的頻率范圍的單個方向上逐步的工作還包括針對所需要的頻率和/或相位響應(yīng)中的每個變化從濾波器組件庫選擇至少一個濾波器組件,使得構(gòu)建到分?jǐn)?shù)階控制系統(tǒng)中的所有濾波器組件的響應(yīng)的總和遵循跨越所需要的頻率和/或相位響應(yīng)的多個變化。

更具體來說,將分?jǐn)?shù)階控制系統(tǒng)的幅值(如果有的話)和相位(如果有的話)作為正頻率和負(fù)頻率兩者中的復(fù)數(shù)從極標(biāo)記轉(zhuǎn)換為直角標(biāo)記,以變換輸入信號(也在直角標(biāo)記中)的fft從而產(chǎn)生表示經(jīng)濾波輸出的直角坐標(biāo)標(biāo)記的一組新復(fù)數(shù),隨后經(jīng)由ifft將所述一組新復(fù)數(shù)轉(zhuǎn)換至?xí)r域以產(chǎn)生經(jīng)濾波輸出信號。

此外,構(gòu)建分?jǐn)?shù)階控制系統(tǒng)可以包括構(gòu)建分?jǐn)?shù)標(biāo)度數(shù)字濾波器以對輸入的選擇頻率進(jìn)行濾波,使得濾波包括輸入的幅值和相位修改,其中所述幅值修改利用與用于相位修改的濾波器組件不同的至少一個濾波器組件。這表示“混合”濾波系統(tǒng)。例如,方法300的實(shí)例性實(shí)施方案,分?jǐn)?shù)階控制系統(tǒng)可以包含低通濾波器以減小高頻率的幅值,隨后還僅在相位方面應(yīng)用簡單的積分器濾波器以引入跨越所有正頻率的-90度的相移,從而產(chǎn)生在實(shí)際上使通頻帶相移的同時使阻帶衰減(并相移)的混合濾波器。此處,積分器相位濾波器組件在相位上進(jìn)行操作,盡管幅值相關(guān)低通濾波器組件改變了輸出的幅值,但沒有來自相位相關(guān)低通濾波器組件的任何貢獻(xiàn)(無低通濾波器引發(fā)的相位貢獻(xiàn))。同樣地,盡管相位相關(guān)積分器使信號相移,但不存在幅值相關(guān)積分器的貢獻(xiàn)。

因此,例如,這允許在一個單個濾波器步驟中實(shí)施兩個或更多通常不同的濾波步驟。另外,不同的幅值和相位濾波器組件,可以在從極標(biāo)記轉(zhuǎn)換為直角標(biāo)記時的幅值和相位組合之后,處于同一計(jì)算中。因此,來自兩個不同濾波步驟的信息被匯總在濾波器的單個復(fù)數(shù)陣列中。

作為一些附加實(shí)例,可以構(gòu)建分?jǐn)?shù)標(biāo)度數(shù)字濾波器以對輸入的選擇頻率進(jìn)行濾波,使得濾波包括具有無相位失真和線性相位中的選定一個的唯幅值頻率修改。同樣地,可以構(gòu)建分?jǐn)?shù)標(biāo)度數(shù)字濾波器以進(jìn)行濾波,其包括對輸入的唯相位頻率修改。

方法300包括在306接收處于數(shù)字頻域中的輸入。而且,方法300包括在308基于分?jǐn)?shù)階控制系統(tǒng)來處理所述輸入以產(chǎn)生數(shù)字輸出。又進(jìn)一步,方法300包括在310傳送所產(chǎn)生的數(shù)字輸出信號。信號的傳送可以包括將輸出傳送到寄存器、端口、緩沖器等、寫入到文件、跨越總線、網(wǎng)絡(luò)或其它接口傳輸輸出,或采取任何其它所需要的行動來定位所述輸出以便可用于下游應(yīng)用、電路等。

如將在本文更詳細(xì)地描述的那樣,方法300還可以包括接收至少一個參數(shù),對所述至少一個參數(shù)進(jìn)行主動監(jiān)視以控制對分?jǐn)?shù)階控制系統(tǒng)的修改,使得輸入的頻率含量的相移和分?jǐn)?shù)標(biāo)度中至少之一發(fā)生變化。

在再另一說明性實(shí)例中,對方法300進(jìn)行擴(kuò)展,使得輸入是數(shù)字圖像和視頻中的選定一個。在此實(shí)施方案中對所需要的頻率和/或相位響應(yīng)進(jìn)行選擇以實(shí)施預(yù)定圖像和/或視頻處理功能。

在方法300的再另一實(shí)例性實(shí)施方案中,輸入是自然隨機(jī)的時間序列,且對所需要的頻率和/或相位響應(yīng)進(jìn)行選擇,以致使輸出與自然隨機(jī)的時間序列的在統(tǒng)計(jì)上等同的合成模型相符(例如,用于計(jì)算機(jī)中的模擬和建模、科學(xué)模擬、視頻游戲、電影中的特效等)。

在仍另一實(shí)例中,對方法300進(jìn)行擴(kuò)展,使得輸入是被轉(zhuǎn)換為數(shù)字頻域的模擬信號,且對所需要的頻率和/或相位響應(yīng)進(jìn)行選擇,以致使輸出表示頻率被濾波后的輸入。

在方法300的某些實(shí)施方案中,有可能的是,相位計(jì)算(例如,在組裝多個相位相關(guān)濾波器組件時)將產(chǎn)生正頻率θ(+ω)的正確相位。然而,此類計(jì)算如果用于計(jì)算正頻率和負(fù)頻率兩者的相位便可能在負(fù)頻率中產(chǎn)生不正確的正負(fù)號或值。由于相位展現(xiàn)出奇對稱行為,因此可以完全根據(jù)正頻率的相位計(jì)算負(fù)頻率的相位。

實(shí)際上,將濾波器組件聚集在一起以產(chǎn)生與用于構(gòu)建分?jǐn)?shù)階控制系統(tǒng)的濾波器組件的數(shù)量無關(guān)的最終分?jǐn)?shù)階控制系統(tǒng)。也就是說,無論利用單個濾波器組件還是眾多濾波器組件,分?jǐn)?shù)階控制系統(tǒng)的總大小是不變的。舉例來說,對于長度n=8192的信號和濾波器,在本文陳述的實(shí)施方案中,利用一個濾波器組件還是十個濾波器組件來構(gòu)建分?jǐn)?shù)階控制系統(tǒng)并不重要。系統(tǒng)解析到8192個復(fù)數(shù)以與所述信號相乘,從而獲得正確的結(jié)果。這與常規(guī)的濾波器級聯(lián)(或抽頭(tap))有很大的區(qū)別,在常規(guī)的濾波器級聯(lián)中更多的濾波器組件意味著更長的處理時間。

因此,例如,通過將分?jǐn)?shù)階控制系統(tǒng)實(shí)施為表示濾波器組件的組合件的一組復(fù)數(shù),可以使用處于特定增益值和衰減率的一組普通濾波器來制造芯片。此處,所述處理僅僅需要使輸入與由分?jǐn)?shù)階控制系統(tǒng)限定的該組復(fù)數(shù)相乘(或在去卷積的情況下相除)。此方法可以潛在地顯著加速濾波,因?yàn)樗鲞^程直接通過復(fù)數(shù)圍繞單位圓的旋轉(zhuǎn)和放大/衰減來計(jì)算端點(diǎn)。

參考圖4,計(jì)算負(fù)頻率θ(–ω)的相位的一個方法400包括在402刪除負(fù)頻率。例如,在正頻率和負(fù)頻率存儲在頻率陣列中的情況下,該方法從所述頻率陣列中刪除負(fù)頻率。方法400還包括在404反轉(zhuǎn)正頻率的相位值。例如,在實(shí)例性實(shí)施方案中,相位信息可以存儲在兩個陣列中,包含正相位陣列θ(+ω)和負(fù)相位陣列θ(–ω)。方法400復(fù)制正相位值(例如,從正相位陣列θ(+ω)),隨后反轉(zhuǎn)所述陣列的元素的階以在對應(yīng)的負(fù)頻率處產(chǎn)生新值。說明性方法將把相位陣列θ(+ω)從左邊翻轉(zhuǎn)到右邊。可以將新相位值插入到現(xiàn)有陣列中(例如,覆寫負(fù)相位陣列θ(–ω)的值),或者可以產(chǎn)生新陣列,例如負(fù)相位陣列θ(–ω)ⅱ。

方法400還包括在406使新的負(fù)頻率值與負(fù)一相乘(即,與-1相乘)。例如,負(fù)相位陣列θ(–ω)ⅱ中的值各自與-1相乘。與-1相乘恢復(fù)了相位的奇對稱行為。

方法400隨后包括在408使正頻率值與新計(jì)算的負(fù)頻率值并置。方法400還包括在410在零頻率處插入θ=0的相位(例如,僅含有復(fù)數(shù)x+jy中的余弦(x)分量的對應(yīng)陣列的索引)。方法400還包括在412在奈奎斯特頻率(ωc=π)處插入θ=0的相位。就此而言,可以產(chǎn)生展現(xiàn)跨越正頻率和負(fù)頻率的相位的奇對稱行為的新相位陣列。

數(shù)字合成器實(shí)例

參考圖5,提供了用于產(chǎn)生頻率和/或相位經(jīng)修改的數(shù)字信號輸出的數(shù)字信號合成器500。此處,術(shù)語“數(shù)字信號合成器”指示以下觀察:主處理器基于某些分?jǐn)?shù)階控制系統(tǒng)來處理某些輸入。就此而言,數(shù)字信號合成器500可以用于接收自然隨機(jī)的時間序列作為輸入,其中分?jǐn)?shù)階控制系統(tǒng)描述為致使所述輸出與所述自然隨機(jī)的時間序列的在統(tǒng)計(jì)上等同的合成模型相符而所需要的頻率和/或相位響應(yīng)。

在再另一說明性實(shí)例中,數(shù)字信號合成器500可以通過處理并且調(diào)節(jié)傳感器輸入而充當(dāng)控制器。就此而言,數(shù)字信號合成器500的一個特定實(shí)例性用途是作為分?jǐn)?shù)階比例-積分-微分(pid)控制器。此外,數(shù)字信號合成器500可以通過應(yīng)用各種頻率幅值和相位操縱而充當(dāng)信號產(chǎn)生器和/或效果處理器,例如,以實(shí)施樂音產(chǎn)生器、音樂合成器,以產(chǎn)生用于測試音頻系統(tǒng)、執(zhí)行各種輸入數(shù)據(jù)分析、用于執(zhí)行編碼/解碼操作的標(biāo)準(zhǔn)化噪聲等。此外,由于分?jǐn)?shù)階控制系統(tǒng)的參數(shù)是β、k和可選的標(biāo)度因數(shù)k,因此分?jǐn)?shù)階控制系統(tǒng)可以是自適應(yīng)的、動態(tài)的或另外可修改的,從而使得數(shù)字信號合成器500適合于自適應(yīng)動態(tài)應(yīng)用。此外,數(shù)字信號合成器500可以用于確立共振峰,以識別并且濾出現(xiàn)有信號中的固有共振峰等。

數(shù)字信號合成器一般包括輸入緩沖器502、變換模塊504、處理模塊506,和輸出緩沖器508。輸入緩沖器502接收在頻域表示中(例如,在bode空間中)表示的數(shù)字輸入。

變換模塊504存儲由至少一個濾波器組件的組合件限定的對所需要的頻率和/或相位響應(yīng)進(jìn)行建模的分?jǐn)?shù)階控制系統(tǒng),所述至少一個濾波器組件包括積分器組件、微分器組件、低通濾波器組件、高通濾波器組件、高頻率放大器組件、低頻率放大器組件,和共振濾波器組件。舉例來說,變換模塊504的濾波器組件可以是本文就圖1a至圖2b(即,圖1a、圖1b、圖2a和圖2b)更全面地描述的濾波器組件中的一個或多個的任何組合。更具體來說,通過拉普拉斯函數(shù)限定每個濾波器組件,對拉普拉斯函數(shù)進(jìn)行了修改以使其包含具有可變分?jǐn)?shù)標(biāo)度指數(shù)的非整數(shù)控制階。此處,分?jǐn)?shù)階控制系統(tǒng)可以呈復(fù)數(shù)變量的形式,例如其中已制定出濾波器設(shè)計(jì),并且已將該設(shè)計(jì)縮減到必需的復(fù)數(shù)分量值。在其它實(shí)施方案中,分?jǐn)?shù)階控制系統(tǒng)可以包含實(shí)行由其限定的濾波函數(shù)所必需的方程、方程的分量等。

處理模塊506從輸入緩沖器502接收輸入和存儲在變換模塊504中的分?jǐn)?shù)階控制系統(tǒng),并且利用分?jǐn)?shù)階控制系統(tǒng)對數(shù)字輸入進(jìn)行乘法。另外,處理模塊506可以任選地實(shí)行(例如)本文就圖3和圖4陳述的方法(或其部分)中的一個或多個。處理模塊506將經(jīng)過處理的信號傳送給輸出緩沖器508。

輸出緩沖器508因此存儲輸入的合成輸出,處理模塊506根據(jù)所需要的頻率和/或相位響應(yīng)(由存儲在變換模塊504中的分?jǐn)?shù)階控制系統(tǒng)限定)在頻域、相域或兩者中修改所述輸入。

在存儲在變換模塊504中的分?jǐn)?shù)階控制系統(tǒng)是動態(tài)或另外可修改的實(shí)例性實(shí)施方案中,數(shù)字信號合成器500可以包含濾波器組件的庫510,例如,參考圖1a和圖1b描述的濾波器組件庫100、參考圖2a和圖2b描述的濾波器組件庫200、其組合、其子集等。

另外,在實(shí)例性實(shí)施方案中,將幅值(如果有的話)和相位(如果有的話)作為正頻率和負(fù)頻率兩者中的復(fù)數(shù)從極標(biāo)記轉(zhuǎn)換為直角標(biāo)記,以變換輸入信號(也在直角標(biāo)記中)的fft從而產(chǎn)生表示經(jīng)濾波輸出的直角坐標(biāo)標(biāo)記的一組新復(fù)數(shù),隨后經(jīng)由ifft將所述一組新復(fù)數(shù)轉(zhuǎn)換為時域以產(chǎn)生經(jīng)濾波輸出信號。在此意義上,一旦得出濾波器,便不需要庫本身。而是,可以利用代碼僅將分?jǐn)?shù)階控制系統(tǒng)的復(fù)數(shù)存儲在芯片上,且使輸入信號與這些復(fù)數(shù)相乘以對信號進(jìn)行濾波。

在已經(jīng)在數(shù)字頻域表示中格式化基礎(chǔ)輸入數(shù)據(jù)的實(shí)例性實(shí)施方案中,可能不需要處理所述輸入。然而,有可能使用數(shù)字信號合成器500來處理模擬時間序列信號。在此情況下,數(shù)字信號合成器500可以包含數(shù)據(jù)調(diào)節(jié)電路,數(shù)據(jù)調(diào)節(jié)電路包含耦合至快速傅里葉變換模塊514的模擬-數(shù)字轉(zhuǎn)換器512,快速傅里葉變換模塊將輸入從時域表示轉(zhuǎn)換為復(fù)數(shù)頻域表示,其隨后存儲在輸入緩沖器502中。

同樣地,合成數(shù)據(jù)可以保持在數(shù)字域中,或合成數(shù)據(jù)可以經(jīng)過處理,例如,經(jīng)由反向快速傅里葉變換模塊516和可選的數(shù)字-模擬轉(zhuǎn)換器518被轉(zhuǎn)換回模擬時間序列表示,反向快速傅里葉變換模塊將存儲在輸出緩沖器508中的輸出從復(fù)數(shù)頻域表示轉(zhuǎn)換為時域表示,數(shù)字-模擬轉(zhuǎn)換器用于將數(shù)字信號轉(zhuǎn)換回模擬時間序列合成信號。

在其它實(shí)例中,輸入源自數(shù)字信號,即,不需要模擬-數(shù)字轉(zhuǎn)換器,然而,需要快速傅里葉變換模塊514將數(shù)字信號轉(zhuǎn)換為復(fù)數(shù)頻域表示。在使用數(shù)字信號合成器500實(shí)施圖像或視頻處理器(例如,以通過實(shí)行預(yù)定圖像處理功能來處理圖像)時可為此種情況。而且,輸入可以是頻數(shù)據(jù)等形式,其需要實(shí)施一些操作(例如,濾波)來實(shí)行預(yù)定圖像處理功能。此處,可以如上文更全面地描述的那樣產(chǎn)生模擬輸出。在信號合成器實(shí)施為音調(diào)產(chǎn)生器的情況下可為此種情況。

在說明性實(shí)施方案中,數(shù)字信號合成器500實(shí)施于現(xiàn)場可編程門陣列(fpga)、專用集成電路和數(shù)字信號處理(dsp)芯片中的選定一個中。就此而言,應(yīng)該觀察到,在某些實(shí)施方案(例如,fpga)中,芯片本身可能不是原生就支持例如模擬-數(shù)字轉(zhuǎn)換器512和對應(yīng)的數(shù)字-模擬轉(zhuǎn)換器520等組件。就此而言,可以從數(shù)字信號合成器500除去這些功能塊,并且這些功能塊可以實(shí)施于例如專用模擬-數(shù)字轉(zhuǎn)換器芯片和專用數(shù)字-模擬轉(zhuǎn)換器芯片等其它芯片中。在又其它實(shí)施方案中,模擬-數(shù)字轉(zhuǎn)換器512和對應(yīng)的數(shù)字-模擬轉(zhuǎn)換器520可以實(shí)施于同一芯片上,其經(jīng)由合適的電路在外部連接到數(shù)字信號合成器。數(shù)字合成器500還可以實(shí)施于由中央處理單元芯片與存儲器的組合實(shí)施的處理系統(tǒng)中,例如,實(shí)施于專用機(jī)器中,專用機(jī)器為例如控制器、機(jī)器人、機(jī)器、電器、嵌入式系統(tǒng)等。數(shù)字信號合成器還可以實(shí)施于計(jì)算機(jī)中。

在替代性實(shí)施方案中,數(shù)字信號合成器實(shí)施于計(jì)算機(jī)可讀媒體中,例如,以便加載到計(jì)算機(jī)系統(tǒng)中形成專用處理裝置。

在又其它實(shí)例性實(shí)施方案中,數(shù)字信號合成器500可以連接到一個或多個傳感器520,該一個或多個傳感器520提供輸出,所述輸出被傳送到輸入緩沖器502(例如,直接地或通過模擬-數(shù)字轉(zhuǎn)換器512和快速傅里葉變換模塊514)。這使得數(shù)字信號合成器能夠充當(dāng)智能傳感器、控制器,或極精確地處理傳感器信號的其它處理裝置。雖然將傳感器520說明為耦合到模擬-數(shù)字轉(zhuǎn)換器512,但實(shí)際上,傳感器520的輸出可以直接耦合到輸入緩沖器502,或經(jīng)由替代性處理電路(出于清晰起見未示出)耦合到輸入緩沖器502。

在再另一可選的實(shí)施方案中,數(shù)字信號合成器500可以實(shí)施為分?jǐn)?shù)階比例-積分-微分控制器(pid控制器)。在此實(shí)施方案中,對應(yīng)硬件過程的過程可變輸入522提供信號,所述信號(例如)直接地或經(jīng)由中介電路(例如,如所說明的模擬-數(shù)字轉(zhuǎn)換器512和快速傅里葉變換模塊514)饋送給輸入緩沖器502。

此實(shí)施方式還包含控制元件524,其(例如)直接地或經(jīng)由中介電路(例如,如所說明的反向快速傅里葉變換模塊516和數(shù)字-模擬轉(zhuǎn)換器518)可通信地耦合到輸出緩沖器508。過程變量522和對應(yīng)的控制元件524可以是pid控制器(例如)控制溫度、調(diào)節(jié)流量、壓力和/或其它工業(yè)過程所需的任何所需要的組件。

就此而言,數(shù)字信號合成器500還可以包含設(shè)定點(diǎn)緩沖器524以為pid控制器存儲所需要的設(shè)定點(diǎn)。在此實(shí)施方案中,數(shù)字信號合成器500可以實(shí)施于可編程邏輯控制器(plc)中,或?qū)嵤槊姘灏惭b式數(shù)字控制器。

此外,可以組合圖5中的特征和元件的任何組合以形成硬件控制器,例如,以在無人駕駛車輛(uav)上執(zhí)行目標(biāo)獲取或自動駕駛控制、控制機(jī)械機(jī)構(gòu),以用于穩(wěn)定系統(tǒng)、防碰撞系統(tǒng)、避讓系統(tǒng)等。

還可以在與適當(dāng)?shù)挠布蠒r實(shí)行軟件實(shí)施方案,以支持與過程變量522和控制元件524的交互。不管是何種實(shí)施方案,在pid控制器的實(shí)例中,數(shù)字信號合成器500實(shí)施控制回路反饋機(jī)構(gòu)(控制器),其利用存儲在變換模塊504中的分?jǐn)?shù)階控制系統(tǒng)來計(jì)算誤差值以作為所測得的過程變量與所需要的設(shè)定點(diǎn)之間的差異。更具體來說,數(shù)字信號合成器500實(shí)施比例-積分-微分控制器,使得由處理模塊產(chǎn)生的比例、積分和微分值的加權(quán)總和對受控制元件影響的硬件過程進(jìn)行調(diào)整。另外,數(shù)字信號合成器500可以使用圖3和/或圖4的方法以及圖1a、圖1b、圖2a和圖2b的庫(以其任何組合)的任何組合。

設(shè)計(jì)實(shí)例

參考圖6a至圖6f描述簡化設(shè)計(jì)實(shí)例,其利用圖1a至圖2b的濾波器組件庫100、200以及圖3至圖4的方法來實(shí)現(xiàn)以圖5中說明的方式構(gòu)建的系統(tǒng)。

識別所需要的頻率和/或相位響應(yīng)

如具體參考圖3的框302所述,為了建立濾波器,設(shè)計(jì)者可以通過識別在頻域表示中表示的所需要的頻率和/或相位響應(yīng)而開始。

現(xiàn)在參考圖6a,示出了使用所述濾波器組件來建立低通濾波器的實(shí)例。為了清楚地說明本文的原理,圖6a的簡化實(shí)例說明了幅值響應(yīng),但未涉及相位響應(yīng)。然而,需要時,類似地執(zhí)行相位分量。

沿著曲線abcd示出了低通濾波器頻率響應(yīng)600的基本形狀。在通頻帶區(qū)602中使沿著線段ab的頻率通過。線段bc限定過渡頻帶604。同樣地,在衰減區(qū)606中使沿著線段cd的頻率衰減。因此,過渡頻帶604被限定在通頻帶區(qū)602與衰減區(qū)606之間,并且以點(diǎn)b處的截止頻率和點(diǎn)c處的轉(zhuǎn)角頻率為特征。

構(gòu)建分?jǐn)?shù)階控制系統(tǒng)

如具體參考圖3的框304所述,下一步驟是構(gòu)建分?jǐn)?shù)階控制系統(tǒng),分?jǐn)?shù)階控制系統(tǒng)通過組裝來自濾波器組件庫的至少一個濾波器組件(在圖1a至圖2b中說明其實(shí)例)對所需要的頻率和/或相位響應(yīng)(參見框302)進(jìn)行建模。

由于圖1a至圖2b的濾波器組件的響應(yīng)在bode空間中是加性的,因此濾波器組件庫100、200的各種濾波器組件可以用于產(chǎn)生幾乎任何特設(shè)(ad-hoc)形狀,包含復(fù)數(shù)形狀和使用常規(guī)濾波器所不可能或不實(shí)際的形狀。另外,每個濾波器組件包含一普通組變量(例如,在適用時,ω、k、β、d等),其取決于濾波器類型。變量的一致性和有限數(shù)目加上對各種濾波器組件的限定極大地簡化了濾波器設(shè)計(jì)。

可以完全通過選擇圖1a中的一些濾波器組件來建立對圖6a中所需要的頻率響應(yīng)進(jìn)行建模的分?jǐn)?shù)階控制系統(tǒng)。值得注意的是,低通濾波器組件106的基本形式具有導(dǎo)致通過而不會改變通頻帶602中的輸入的幅值(除非通過k進(jìn)行標(biāo)度)的響應(yīng),且針對高于截止頻率的頻率在bode空間中展現(xiàn)出負(fù)斜率。這意味著高于截止頻率的頻率越高,衰減越大。

相應(yīng)地,高頻放大器110的基本形式具有導(dǎo)致通過而不會改變通頻帶602中的輸入的幅值(除非進(jìn)行標(biāo)度)的響應(yīng),且針對轉(zhuǎn)角頻率以上的頻率在bode空間中展現(xiàn)出正斜率。這意味著頻率(高于轉(zhuǎn)角頻率)越高,放大率越大。因?yàn)闉V波器組件響應(yīng)在bode空間中是加性的,所以對于高頻率,低通濾波器組件106的衰減率可以被高頻率放大器組件110的增益率抵消,從而導(dǎo)致響應(yīng)線段cd通過高頻率區(qū)變平。對濾波器組件變量的選擇基本上限定了低通濾波器組件106的截止頻率、高頻率濾波器組件110的轉(zhuǎn)角頻率,和過渡頻帶604中的衰減量。

為了建立圖6a的低通濾波器,使用了三個組件:積分器組件、低通濾波器組件和高頻率放大器組件(其中不使用一些低通濾波器、積分器組件)。作為區(qū)分濾波器組件的值的方式,為了本文進(jìn)行論述,第一組件的值包含下標(biāo)1(例如,β1)、第二組件的值包含下標(biāo)2(例如,β2)等。例如,積分器組件102是第一組件,因此積分器組件的β是由β1表示。

在圖6a的低通濾波器的情況下,具有0斜率的積分器被用作第一組件,因此β1=0(積分器不需要k的值)。由于積分器包含β1=0,因此可以完全省略積分器組件。然而,為了實(shí)例的完整性并且為了稍后演示如何產(chǎn)生任何類型的頻率響應(yīng),將其保留下來。

參考圖6b,為了建立圖6a的低通濾波器,從濾波器庫100中選擇低通濾波器組件106,且利用分?jǐn)?shù)標(biāo)度指數(shù)β2的適當(dāng)值對其進(jìn)行配置,且將參數(shù)k2選擇成與截止頻率b對應(yīng)。

參考圖6c,為了建立低通濾波器,還從庫100中選擇高頻放大器110。利用分?jǐn)?shù)標(biāo)度指數(shù)β3的適當(dāng)值對高頻放大器110進(jìn)行配置,且將參數(shù)k3選擇成與轉(zhuǎn)角頻率c對應(yīng)。值得注意的是,如圖6c最佳地說明,通過設(shè)定β2=β3,低通濾波器(圖6b)的高頻率衰減的斜率與線段cd(圖6a)中的平坦響應(yīng)的高頻率放大的斜率(由高頻放大器110(圖6c)經(jīng)由求和導(dǎo)致)大小相等且方向相反。

參考圖6d,濾波器還可以利用積分器(例如,來自庫100的積分器組件102)(或來自庫100的微分器104)來實(shí)施通頻帶602中的標(biāo)度校正調(diào)整,如將在本文更詳細(xì)地描述的那樣。

因此,用于形成該濾波器的三個濾波器組件包含積分器濾波器組件102(或微分器濾波器組件104)、低通濾波器組件106,和高頻率放大器組件110。

用于每個濾波器組件的拉普拉斯函數(shù)一起相乘以產(chǎn)生低通濾波器的方程。然而,應(yīng)記得拉普拉斯函數(shù)的乘法在變換到bode空間時被設(shè)想為加法/減法。

組裝濾波器組件

可以采用具有低或高頻率標(biāo)度校正(sc)的低通分?jǐn)?shù)標(biāo)度數(shù)字濾波器來使低頻率通頻帶內(nèi)的所有頻率通過且使高頻率阻帶內(nèi)的所有頻率衰減,同時除了任何衰減之外還在低或高頻帶內(nèi)引入標(biāo)度校正。包含一個積分器組件、一個低通濾波器組件和一個高頻率放大器組件的低通分?jǐn)?shù)標(biāo)度數(shù)字濾波器(sc)的拉普拉斯形式是:

此處,k2<k3。k是可選的標(biāo)度因數(shù)。也就是說,乘數(shù)k用于將濾波器上下移位,使得跨越所有頻率分別存在幅值或功率的增加或減小。由于在引入標(biāo)度指數(shù)之前解出了括號內(nèi)方程的幅值和相位,因此標(biāo)度指數(shù)β示為下標(biāo)。一旦解出低通分?jǐn)?shù)標(biāo)度數(shù)字濾波器的幅值和相位,標(biāo)度指數(shù)β便分布在整個構(gòu)造塊方程中。

值得注意的是,低頻率區(qū)的濾波器的標(biāo)度行為(低于增益值k2)受積分器/微分器構(gòu)造塊102影響,而高頻率區(qū)的濾波器的標(biāo)度行為(高于增益值k3)由低通濾波器組件106和高頻率放大器組件110的相互作用確定。更準(zhǔn)確地說,β1控制低頻率標(biāo)度校正,而β1、β2和β3之間的關(guān)系控制高頻率區(qū)的標(biāo)度校正(其中“低”和“高”頻率區(qū)是相對于與增益值k2和k3相關(guān)聯(lián)的頻率而言)。因此,β(一般)是非整數(shù)分?jǐn)?shù)標(biāo)度指數(shù)的變量,其表示與所需要的頻率響應(yīng)的對應(yīng)區(qū)段相關(guān)聯(lián)的功率譜的斜率。

雖然含有k2和k3的方程主要影響高頻率區(qū)的濾波器標(biāo)度校正,但高頻率區(qū)的傳遞函數(shù)濾波方程的影響開始于恰好低于k2的頻率,并且延伸至更高的頻率。因此,高頻率區(qū)的傳遞函數(shù)濾波方程不會顯著影響低于k2的頻率。若高頻率傳遞函數(shù)濾波方程在低于k2的頻率處無影響,則導(dǎo)致具有可選的乘數(shù)k的積分器濾波器組件102(或微分器104)會支配較低頻率區(qū)。基本上,任何區(qū)中的斜率是在那個區(qū)之前存在的所有組件的斜率的總和。

通過使用本文更全面地陳述的所述方程,通過下式給出低通分?jǐn)?shù)標(biāo)度數(shù)字濾波器的幅值:

bode空間中的低通分?jǐn)?shù)標(biāo)度數(shù)字濾波器的幅值(以(db)計(jì))是:

為了獲得輸入至方程中的變量,設(shè)計(jì)者可以針對每個組件指定所需要的β。為了簡化實(shí)例,假定β1=0,且β2=β3。這使積分器濾波器組件的值簡化為k。如果k=l,那么會實(shí)現(xiàn)傳統(tǒng)低通濾波器響應(yīng)。變量ω是以弧度計(jì)的角頻率,并且容易計(jì)算為ω=2π/τ,其中t是系統(tǒng)的周期。接下來,濾波器設(shè)計(jì)者可以指定k2。更具體來說,將k2轉(zhuǎn)換為角頻率ωk2,且依據(jù)角頻率識別低通濾波器的截止頻率(參見圖6a中的點(diǎn)b)??梢酝ㄟ^許多方式確定k3的值(參見圖6a中的點(diǎn)c)。具體來說,k3的值隨著過渡頻帶604的斜率以及過渡頻帶604所需要的衰減(以db計(jì))而變。如此,由于過渡頻帶604衰減限定于k2與k3之間,因此以增益描述k值。相比之下,可選的值k>1可以用于在幅值上將整個響應(yīng)上移,而將k設(shè)定在0與1之間將在幅值上將整個響應(yīng)下移。

參考圖6e,依據(jù)過渡頻帶604中的β的值,過渡區(qū)的斜率將變化。在所述實(shí)例中,β2=y(tǒng)陡于β1=x。假定ki與ki+1之間所需要的過渡頻帶是-30db,其中斜率為β1??梢酝ㄟ^跟隨線β1直到ki+1到達(dá)-30db點(diǎn)而找到ki+1的值。根據(jù)此映射很容易計(jì)算ωki+1。

在加上傳遞函數(shù)在每個角頻率下的每個值之后,bode空間中的幅值(m(db))隨后可以通過取結(jié)果m(db)除以20的逆對數(shù)而轉(zhuǎn)換回幅值(m),如下:

在bode空間中加上值的中間步驟可用于計(jì)算機(jī)執(zhí)行的算法,并且當(dāng)在bode空間中直觀地加上幅值(或功率)以確定每個構(gòu)造塊傳遞函數(shù)的共同相互作用時是有用的。

如果設(shè)計(jì)者正在執(zhí)行對應(yīng)的相位調(diào)整,那么來自圖2a的低通分?jǐn)?shù)標(biāo)度數(shù)字濾波器的正頻率的弧度(+ω)中的對應(yīng)相位方程將是:

使用圖4的方法計(jì)算負(fù)頻率的相位θ(-ω),例如,通過對低通分?jǐn)?shù)標(biāo)度數(shù)字濾波器的正頻率的相位值θ(+ω)反轉(zhuǎn)并乘以-1,以將相位的奇對稱行為恢復(fù)為:θ(-ω)=θ(+ω)·-1。

隨后將正頻率和負(fù)頻率并置,從而在零頻率和奈奎斯特頻率處插入θ=0的相位,使得低通分?jǐn)?shù)標(biāo)度濾波器的最終相位展現(xiàn)出奇對稱。值得注意的是,不需要將相位轉(zhuǎn)換到bode空間以利用本文中所描述的濾波的可加性。

關(guān)于構(gòu)建分?jǐn)?shù)階控制系統(tǒng)的一般情況

再次參考圖6a,甚至在低通濾波器的簡化實(shí)例內(nèi),可以僅通過控制β的值來實(shí)現(xiàn)復(fù)雜形狀。具體來說,可以通過改變β值的關(guān)系實(shí)現(xiàn)至少九個不同的濾波器形狀。更具體來說,如果β1=0,那么線段ab是平坦的。然而,設(shè)定β1>0會致使積分器將增益引入到響應(yīng)中,從而致使線段ab具有負(fù)斜率(在通頻帶中,較低頻率的幅值較高),如通過線段614說明。另一方面,設(shè)定β1<0實(shí)際上會使微分器組件取代積分器濾波器組件(因?yàn)棣聻樨?fù)),從而致使通頻帶線段ab具有正斜率(在通頻帶中,較低頻率的幅值較低),如通過線段616說明。

設(shè)定β3=β1+β2會致使衰減頻帶響應(yīng)為平坦線(線段cd是平坦的)。然而,設(shè)定β3>β1+β2會致使衰減頻帶線段cd具有正斜率(在衰減頻帶中,較低頻率的幅值較低),如通過線段618說明。相應(yīng)地,設(shè)定β3<β1+β2會致使衰減頻帶線段cd具有負(fù)斜率(在衰減頻帶中,較低頻率的幅值較高),如通過線段620說明。常規(guī)濾波器技術(shù)中不存在此能力。

為了描繪與常規(guī)濾波器方法的一些其它區(qū)別,可以觀查到,β=2×常規(guī)濾波器階(即,6db/octave(倍頻程))。因此,β為8對應(yīng)于常規(guī)四階濾波器。然而,根據(jù)本公開,β可以高達(dá)穩(wěn)定性允許的值,從而容易達(dá)到84或更高的階,因此產(chǎn)生42階濾波器的等效物,而無帶內(nèi)或帶外波紋。通過提供優(yōu)良的濾波器,僅此屬性便會顯著改進(jìn)信號濾波的技術(shù)領(lǐng)域。另外,利用在本文公開濾波器之前不可能有的獨(dú)特特性,該設(shè)計(jì)方法使得能夠更快地設(shè)計(jì)濾波器,而不依賴于查找表或繁冗的常數(shù),從而通過實(shí)現(xiàn)濾波器的迅速設(shè)計(jì)和開發(fā)而進(jìn)一步改進(jìn)了濾波器設(shè)計(jì)的技術(shù)領(lǐng)域。另外,由于所述方程是加性的,因此解決方案解析為獨(dú)立于濾波器截止的斜率/階的單個大小的格式。因此,本文的濾波器通過提供對總處理能力的要求低于優(yōu)良濾波器的總處理能力的濾波器改進(jìn)了濾波器設(shè)計(jì)的技術(shù)領(lǐng)域,同時提供了簡化的硬件和/或數(shù)字實(shí)現(xiàn),如本文更詳細(xì)地描述的那樣。

每個線段的斜率受到每個組件的β影響。例如,為了形成圖6的頻率響應(yīng)600,積分器組件的β將為零(即,β1=0),從而針對線段ab產(chǎn)生無斜率的平坦線。然而,在頻率響應(yīng)600的截止頻率(即,k1)下,低通濾波器組件包含β的高值以在過渡頻帶606中急劇減小。例如,針對線段bc,為八十五的β將產(chǎn)生急劇截止,而為四的β將具有更平緩的截止。為了在衰減區(qū)604(即,線段cd)中產(chǎn)生斜率為零的平坦線,高頻率放大器組件的β將等于積分器組件的β和低通濾波器組件的β的總和。通過低通濾波器組件的β和衰減區(qū)604內(nèi)所需要的衰減來確定高頻率放大器組件的截止頻率。另外,β本身可以是分?jǐn)?shù)。例如,設(shè)計(jì)者可以容易基于公式β/10=所需要的db/十倍頻選擇β。因此,35db/decade(十倍頻程)的斜率將具有β=3.5。

例如,假定在1khz的轉(zhuǎn)角頻率且在過渡頻帶中具有30db的衰減的條件下,用戶想要建立具有平坦通頻帶(線段ab)和平坦衰減頻帶(線段cd)的四十二又二分之一階低通濾波器。積分器組件僅包含一個變量:β(假定k=l),且所要的四十二又二分之一階低通濾波器的通過區(qū)602中所需要的斜率是零。因此,β1=0。第二組件、低通濾波器組件包含斜率和截止頻率。從低通濾波器的規(guī)格可知,k2(即,第二組件的截止頻率)是1khz(其必須轉(zhuǎn)換為角頻率),且β2是八十五(即,濾波器所需要的階的兩倍減去β1)。為了確定β3(即,高頻放大器的β),使β1加上β2(即,0+85),其產(chǎn)生八十五。

可以利用β2的斜率的比率確定高頻放大器的轉(zhuǎn)角頻率(即,k3)。在β2=85的情況下,過渡頻帶604內(nèi)的斜率是85/2×6db/倍頻程。使用斜率的上升/延伸公式,于是從k2移位30db/(85/2×6db/倍頻程)=0.117,其可以轉(zhuǎn)換為角頻率,如本文更詳細(xì)地陳述的那樣。

將所確定的值插到低通濾波器的方程中,得到傳遞函數(shù)的復(fù)值。傳遞函數(shù)的這些復(fù)值可以存儲在存儲器中以便稍后用于信號。

為了形成不同的低通濾波器,可以使用其它β值。例如,如果在通過區(qū)602中需要正斜率,那么β1<0。相反地,如果在通過區(qū)602中需要負(fù)斜率,那么β1>0。過渡頻帶604中的斜率是β1+β2。如果在衰減區(qū)中需要正斜率,那么β3<β1+β2。相反地,如果在衰減區(qū)中需要負(fù)斜率,那么β3>β1+β2。應(yīng)注意,區(qū)的斜率取決于所述區(qū)前面的區(qū)的斜率。可以添加具有不同截止值和斜率的更多組件以產(chǎn)生任何所需要的形狀。

參考圖6f,說明了系統(tǒng)的實(shí)例性實(shí)施方案(例如,系統(tǒng)500的實(shí)例)。系統(tǒng)控制器650(例如,處理芯片)包含積分器模塊652,例如,其存儲與圖1a中的積分器組件102對應(yīng)的積分器。系統(tǒng)控制器650還包含低通濾波器模塊654,例如,其存儲與圖1a中的低通濾波器組件106對應(yīng)的低通濾波器。系統(tǒng)控制器650進(jìn)一步包含高頻放大器模塊656,例如,其存儲與圖1a中的高頻放大器110對應(yīng)的高頻放大器。將由積分器模塊652、低通濾波器模塊654和高頻放大器模塊656實(shí)現(xiàn)的濾波器組件集合起來(例如,基于頻域表示在bode空間中)以產(chǎn)生分?jǐn)?shù)階控制系統(tǒng)660。

乘法器664使復(fù)數(shù)頻域表示662中的輸入與分?jǐn)?shù)階控制系統(tǒng)660的復(fù)值相乘產(chǎn)生輸出666,所述輸出可以用于驅(qū)動下游過程。

雖然為了清晰而對簡單低通濾波器進(jìn)行了說明,但應(yīng)該容易明白,可以組合任何一個或多個濾波器組件以產(chǎn)生其它濾波器類型,例如高通分?jǐn)?shù)標(biāo)度濾波器(例如,)、通頻帶分?jǐn)?shù)標(biāo)度濾波器(例如,)、陷波分?jǐn)?shù)標(biāo)度濾波器(例如,)、共振分?jǐn)?shù)標(biāo)度濾波器(例如,)、具有標(biāo)度校正的共振分?jǐn)?shù)標(biāo)度濾波器(例如,)、諧波共振分?jǐn)?shù)標(biāo)度濾波器(例如,因此hr=hr1×hr2×…×hrn)),或者可以與其它頻率響應(yīng)模型組合地組裝任何其它復(fù)雜分?jǐn)?shù)標(biāo)度數(shù)字濾波器或頻率響應(yīng)模型等。

值得注意的是,分?jǐn)?shù)標(biāo)度濾波器不限于每個傳遞函數(shù)方程一個濾波器實(shí)例。而是,可以將若干實(shí)例聯(lián)系在一起。例如,可以將諧波共振分?jǐn)?shù)標(biāo)度濾波器實(shí)施為若干共振分?jǐn)?shù)標(biāo)度濾波器,以便對諧波進(jìn)行濾波、產(chǎn)生具有良好限定的諧波的合成信號。此處,構(gòu)成諧波共振分?jǐn)?shù)標(biāo)度濾波器的共振分?jǐn)?shù)標(biāo)度濾波器的每個實(shí)例的變量配置成表示單個信號內(nèi)出現(xiàn)的若干周期性或諧波。更具體來說,諧波共振峰的頻率位置是由增益(k)值設(shè)定,而共振峰的高度和寬度是由d和β值確定。另外,由于一個共振分?jǐn)?shù)標(biāo)度濾波器單獨(dú)地表示每個諧波,因此每個諧波可以完全經(jīng)過定制,并且在濾波特性方面不同于其它諧波。使任何輸入信號與諧波共振分?jǐn)?shù)標(biāo)度濾波器卷積,產(chǎn)生完全合成的信號以作為具有與指定共振頻率一樣良好限定的諧波的輸出。類似地,將輸入去卷積會通過衰減從信號移除多個諧波。因此,可以將濾波器組合以產(chǎn)生包含合成器、音調(diào)產(chǎn)生器、樂器等的復(fù)雜系統(tǒng)。

另外,可以將一個或多個濾波器組件(具有相同或不同濾波器類型)組合以產(chǎn)生極為復(fù)雜的濾波器特性。例如,模型可以包含用于表征相對較低頻率的第一組濾波器組件,和用于表征相對較高頻率的不同組濾波器組件。作為另一實(shí)例,本文的分?jǐn)?shù)標(biāo)度技術(shù)可以用于準(zhǔn)確地量化自然隨機(jī)的時間序列內(nèi)的噪聲,并且以計(jì)算方式對表示負(fù)責(zé)產(chǎn)生這些信號的物理或數(shù)學(xué)過程的自然和隨機(jī)復(fù)雜系統(tǒng)的動態(tài)標(biāo)度行為進(jìn)行建模。下文參考編碼器/解碼器實(shí)例提供產(chǎn)生合成噪聲的方法。本文的分?jǐn)?shù)標(biāo)度技術(shù)還可以用作許多應(yīng)用中出現(xiàn)的數(shù)字濾波器。另外,本文的分?jǐn)?shù)標(biāo)度技術(shù)可以用于實(shí)施控制系統(tǒng)、圖像處理、視頻處理和其它信號處理等。

利用濾波器組件庫中的各種組件,通過與上文所論述的方式相似的方式可以沿著不同頻率實(shí)現(xiàn)斜率和衰減水平不同的任何所需要的頻率響應(yīng),其中從窄帶寬內(nèi)的尖銳過渡到通頻帶內(nèi)的復(fù)雜結(jié)構(gòu)的準(zhǔn)確性很高,均不會引入當(dāng)前技術(shù)狀態(tài)濾波器的數(shù)學(xué)偽影或?qū)е陆?jīng)濾波信號中發(fā)生信息損失。

fir實(shí)例

現(xiàn)在參考圖7,實(shí)例性系統(tǒng)700說明了圖3中的方法300用作有限脈沖響應(yīng)(fir)濾波器對數(shù)字輸入信號的頻率進(jìn)行濾波。如圖6的實(shí)例所示,可以從濾波器組件庫100、200的濾波器組件(圖1a至圖2b)建立傳遞函數(shù)h的形狀。為傳遞函數(shù)(已經(jīng)在頻域內(nèi))所得的復(fù)值存儲在fir濾波器傳遞函數(shù)存儲器702內(nèi)。

將時域內(nèi)的信號704設(shè)定為系統(tǒng)700的輸入。如果時域信號已非數(shù)字信號(即,如果信號是模擬信號),那么時域信號應(yīng)該穿過模擬-數(shù)字轉(zhuǎn)換器(未示出),如參考圖5所論述。數(shù)字時域信號704饋給快速傅里葉變換(fft)組件706以將信號704從時域轉(zhuǎn)換為復(fù)數(shù)頻域。如所示,輸入信號704直接饋給fft706。然而,輸入信號的一個或多個樣本可以在到達(dá)fft706之前置于緩沖器中。

fft706的輸出饋給存儲器708,該存儲器存儲在頻域中表示的輸入信號。因此,頻域信號存儲器708的大小取決于fft的點(diǎn)的數(shù)目(例如,1024點(diǎn)fft將需要至少1024個地址的頻域信號存儲器708)。此外,fir濾波器傳遞函數(shù)存儲器702的大小取決于fft的點(diǎn)的數(shù)目(例如,1024點(diǎn)fft將需要1024個地址的fir濾波器傳遞函數(shù)存儲器702)。

為了在頻域中執(zhí)行信號的卷積,使用了乘法。因此,乘法器710使頻域輸入信號的每個點(diǎn)與fir濾波器傳遞函數(shù)的對應(yīng)點(diǎn)相乘。例如,計(jì)數(shù)器可以用于尋址頻域信號存儲器708和fir濾波器傳遞函數(shù)存儲器702兩者,其中存儲器702、708的輸出饋給乘法器710。相乘結(jié)果隨后存儲在頻域輸出存儲器712中。例如,計(jì)數(shù)器還可以尋址頻域輸出存儲器712(具有任何數(shù)目的延遲抽頭,因?yàn)樵诔朔ㄆ?10中存在管線級(如果乘法器內(nèi)存在任何管線級)。

頻域輸出存儲器712可以穿過第二fft714(如反向快速傅里葉變換所說明)以將輸出傳遞到時域輸出中。在一些情況下,第二fft714可以是第一fft706。例如,在低處理量系統(tǒng)中,可以對fft706進(jìn)行時間多路復(fù)用以適應(yīng)變換時域輸入信號704和頻域輸出。然而,在高處理量系統(tǒng)中,將存在兩個單獨(dú)的fft706、714。

使用系統(tǒng)700會通過移除與執(zhí)行時域中的卷積相關(guān)聯(lián)的若干乘法步驟和加法器樹而縮減用于對信號執(zhí)行卷積的時鐘循環(huán)的數(shù)目。

編碼器/解碼器

另一實(shí)例性應(yīng)用包含編碼器/解碼器。如上文提及,濾波器組件可以用于創(chuàng)建產(chǎn)生特定功能的噪聲的系統(tǒng)。編碼器/解碼器能力利用如本文更全面地陳述的分?jǐn)?shù)標(biāo)度的特征和技術(shù)。

例如,再次參考圖3,處理數(shù)字信號以執(zhí)行編碼/解碼的此實(shí)例性方法包括在302通過識別所需要的頻率響應(yīng)來識別在頻域表示中表示的所需要的頻率和/或相位響應(yīng)以對噪聲進(jìn)行整形。

例如,設(shè)計(jì)者從選擇系統(tǒng)的標(biāo)度指數(shù)開始,標(biāo)度指數(shù)標(biāo)示為βs。設(shè)計(jì)者隨后獲得噪聲信號以作為輸入x[n]。噪聲信號可以包括高斯白噪音、某一隨機(jī)化噪聲、來自噪聲源(例如,無線電干擾)的經(jīng)取樣噪聲。通過fft處理噪聲輸入以產(chǎn)生輸入的復(fù)數(shù)頻域表示,例如,以直角標(biāo)記表示為x[s]。隨后將直角標(biāo)記輸入轉(zhuǎn)換為極標(biāo)記和噪聲的功率譜(例如,)。在轉(zhuǎn)換了噪聲的情況下,從功率譜確定標(biāo)度輸入。

再次參考圖3,在此實(shí)例中,在304通過以下操作構(gòu)建分?jǐn)?shù)階控制系統(tǒng):基于輸入的標(biāo)度指數(shù)修改系統(tǒng)的標(biāo)度指數(shù)以使得βs=βs+βi。將標(biāo)度指數(shù)βs并入到單個標(biāo)度傳遞函數(shù)(例如,積分器組件)中,其中β=βs。隨后在每個復(fù)合頻率下解出單個傳遞函數(shù)的幅值和相位(例如,),其中幅值將傳遞函數(shù)從極標(biāo)記轉(zhuǎn)換回直角標(biāo)記,例如,x=mcos(θ);y=msin(θ),使得h[s]=x+jy。

再次參考圖3,在此實(shí)例中,通過接收噪聲作為輸入,在306接收輸入。同樣地,在此實(shí)例中,在308處理輸入包括將噪聲的頻域表示(例如,噪聲的直角標(biāo)記)與所需要的頻率響應(yīng)的模型(的直角標(biāo)記)相乘以產(chǎn)生數(shù)字輸出。這產(chǎn)生了新輸出噪聲的直角標(biāo)記y[s]。

在離開復(fù)數(shù)頻域之前,所述過程進(jìn)一步通過復(fù)制移位一百八十度的數(shù)字輸出來處理所述數(shù)字輸出以產(chǎn)生反向數(shù)字輸出。數(shù)字輸出和反向數(shù)字輸出隨后被轉(zhuǎn)換回時域,以實(shí)現(xiàn)具有與輸入噪聲相同的標(biāo)度行為的輸出噪聲。

進(jìn)一步通過以下操作構(gòu)建編碼器/解碼器:將有效負(fù)載信號嵌入到數(shù)字輸出和反向數(shù)字輸出(例如,在時域中)中的選定一個中以產(chǎn)生傳輸信號、將數(shù)字輸出和反向數(shù)字輸出中的剩余一個指定為密鑰信號。例如,可以將消息、信息、數(shù)據(jù)等深深地埋入傳輸信號的噪聲中。將密鑰信號傳送給接收器。例如,在第一時間將密鑰信號傳遞到接收器。還將傳輸信號傳送到接收器,但是優(yōu)選通過與將密鑰信號傳送給接收器分開的方式。

為了恢復(fù)有效負(fù)載(例如)消息,接收器同步地混合傳輸信號和密鑰信號。這產(chǎn)生與密鑰信號的噪聲對消的傳輸信號的噪聲分量(因?yàn)樗鼈兪?80度異相),從而顯露并揭露有效負(fù)載。因此,除了具有用于揭露信號的密鑰信號的人之外,向所有人隱藏消息。這可以用于編碼實(shí)時消息、傳輸靜態(tài)數(shù)據(jù),或任何其它所要的有效負(fù)載。

因此,編碼器/解碼器提供對信號的唯相位修改的實(shí)例性用途(例如,用于產(chǎn)生揭露有效負(fù)載所需的密鑰)。而且,因?yàn)檩敵鲈肼暿呛铣傻?,所以可以重新產(chǎn)生噪聲源,從而使新噪聲指紋具有無盡的可能性,因此確保密鑰信號與對應(yīng)的傳輸信號之間緊密相關(guān)。為了獲得新的唯一配對,僅僅需要重新產(chǎn)生合成噪聲,且導(dǎo)出新的且唯一的噪聲指紋。另外,以上方法可以用于產(chǎn)生未嵌入有效負(fù)載的噪聲信號,(例如)以產(chǎn)生模型化信號的合成版本,從而允許具有根據(jù)需要產(chǎn)生基礎(chǔ)信號的盡可能多的在統(tǒng)計(jì)上準(zhǔn)確的表示的能力。此處,不需要相移的輸出。

多方面考慮因素

在本文的濾波器中,分?jǐn)?shù)標(biāo)度指數(shù)β的值可以是分?jǐn)?shù),而不必是整數(shù)。

可以使用頻率響應(yīng)模型(frm)內(nèi)的分?jǐn)?shù)標(biāo)度數(shù)字濾波器更準(zhǔn)確地對自然和隨機(jī)的復(fù)雜系統(tǒng)和信號內(nèi)含有的信息進(jìn)行建模,從而允許開發(fā)這些自然且隨機(jī)的復(fù)雜系統(tǒng)的動力學(xué)的定量、基于方程的模型,其隨后可以用于產(chǎn)生完全合成的信號,所述完全合成的信號在統(tǒng)計(jì)上等同于通常將從這些系統(tǒng)出現(xiàn)的信號。

此外,分?jǐn)?shù)標(biāo)度數(shù)字濾波器允許多種選擇性濾波能力,并且還可以通過使用濾波器的等效部分對任何信號執(zhí)行唯幅值頻率修改(沒有相位失真或僅具有線性相位)或唯相位頻率修改,從而提供濾波器設(shè)計(jì)方面的靈活性。

通過此類新的傳遞函數(shù),可以從具有分?jǐn)?shù)控制階的分?jǐn)?shù)標(biāo)度數(shù)字濾波器設(shè)計(jì)數(shù)字濾波器,從而允許精確地修改任何信號的頻率含量的分?jǐn)?shù)標(biāo)度和/或相移。

分?jǐn)?shù)標(biāo)度數(shù)字濾波器還可以充當(dāng)“智能”數(shù)字信號處理濾波器,其根據(jù)所測得的輸入信號準(zhǔn)實(shí)時地進(jìn)行自我調(diào)整,從而主動地適應(yīng)信號內(nèi)的波動或噪聲以產(chǎn)生所需要的被濾波的響應(yīng)。

在比較傳統(tǒng)的dsp濾波器的性能和分?jǐn)?shù)標(biāo)度數(shù)字濾波器的性能時,實(shí)現(xiàn)特定頻率區(qū)的分?jǐn)?shù)衰減率所需要的方程和參數(shù)更少,其解釋為計(jì)算所需的時間量的減少。實(shí)質(zhì)上,分?jǐn)?shù)標(biāo)度數(shù)字濾波器提供對任何信號進(jìn)行分?jǐn)?shù)濾波的能力,從而獲得比不利用分?jǐn)?shù)微積分的常規(guī)濾波器設(shè)計(jì)更有效和精細(xì)調(diào)諧的濾波器。

通過采用含有分?jǐn)?shù)標(biāo)度數(shù)字濾波器的數(shù)學(xué)的算法,可以開發(fā)創(chuàng)新的軟件和硬件應(yīng)用,從而允許分?jǐn)?shù)控制階數(shù)字濾波器可以跨行業(yè)廣泛地使用。分?jǐn)?shù)標(biāo)度數(shù)字濾波器的數(shù)學(xué)算法的用戶友好的軟件實(shí)施方案可以包含塊集以作為嵌入式系統(tǒng)測試環(huán)境。

硬件實(shí)施方案包含將分?jǐn)?shù)標(biāo)度數(shù)字濾波器編碼到現(xiàn)場可編程門陣列(fpga)裝置中或dsp芯片組內(nèi),其隨后可以用于對數(shù)字信號執(zhí)行分?jǐn)?shù)濾波、建立數(shù)字“智能”自適應(yīng)分?jǐn)?shù)濾波器,或增強(qiáng)商業(yè)、科學(xué)和國防工業(yè)內(nèi)的傳感器的靈敏度。

分?jǐn)?shù)標(biāo)度數(shù)字濾波器還提供以下方法:定量地描述多種樂器的音符的尺度的諧波頻譜,并且允許針對樂器的每個音符開發(fā)頻率響應(yīng)模型,其可以用于產(chǎn)生純數(shù)學(xué)但是現(xiàn)實(shí)的音樂聲音以供用于數(shù)字合成器和軟件中。

在數(shù)字電路中,濾波器和系統(tǒng)、分?jǐn)?shù)標(biāo)度數(shù)字濾波器可以減少計(jì)算時間,增加效率,從而允許更快的電路或系統(tǒng)的響應(yīng),并且提高電路和濾波器的準(zhǔn)確性,從而消除近似誤差。

作為另一附加益處,可以通過用分?jǐn)?shù)標(biāo)度數(shù)字濾波器的方程取代傳統(tǒng)的dsp濾波器方程來經(jīng)由更準(zhǔn)確和高效的分?jǐn)?shù)階控制系統(tǒng)更好地限定當(dāng)前控制系統(tǒng)的一些方面。

作為還限定控制和移動的方程,使用分?jǐn)?shù)標(biāo)度數(shù)字濾波器的分?jǐn)?shù)數(shù)學(xué)對系統(tǒng)的動態(tài)行為進(jìn)行建模的控制算法還可以提高機(jī)械平臺(例如,仿生學(xué)、可穿戴外骨骼和無人車輛)的響應(yīng)、穩(wěn)定性和機(jī)器學(xué)習(xí)能力。

因此,分?jǐn)?shù)標(biāo)度數(shù)字濾波器的分?jǐn)?shù)數(shù)學(xué)最終可以提供對被濾波信號的更大的命令和控制,從而導(dǎo)致更準(zhǔn)確地限定移動和控制算法的能力。分?jǐn)?shù)階控制系統(tǒng)(例如,分?jǐn)?shù)階比例-積分-微分(pid)控制器)提供在強(qiáng)擾動下的更大的穩(wěn)定性和性能,因?yàn)榉謹(jǐn)?shù)控制系統(tǒng)更加靈活,從而更好地能夠適應(yīng)環(huán)境的動態(tài)性質(zhì),并且還具有更加有效的阻尼特性。通過利用分?jǐn)?shù)標(biāo)度數(shù)字濾波器的分?jǐn)?shù)控制系統(tǒng)控制的任何裝置、機(jī)器人、平臺或車輛可以從擾動更快地恢復(fù),并且具有更大的準(zhǔn)確性,從而與使用傳統(tǒng)的dsp濾波器的常規(guī)控制系統(tǒng)進(jìn)行控制相比,允許更流暢且更加高效的控制。

總的來說,分?jǐn)?shù)標(biāo)度數(shù)字濾波器的開發(fā)提供對我們當(dāng)前查看、建模、濾波和控制數(shù)字信號和系統(tǒng)的方式進(jìn)行變革的潛力,并且代表與常規(guī)的數(shù)字濾波器設(shè)計(jì)相比非凡的技術(shù)進(jìn)步。分?jǐn)?shù)標(biāo)度數(shù)字濾波器的有用性及其它們在分?jǐn)?shù)階控制系統(tǒng)中的用途跨眾多學(xué)科和行業(yè)而延伸:從控制理論、控制論、信息理論、醫(yī)學(xué)、神經(jīng)科學(xué)、神經(jīng)工程學(xué)、認(rèn)知科學(xué)和人類行為科學(xué)到環(huán)境科學(xué)、氣象學(xué)、地球物理學(xué)、航空航天、控制系統(tǒng)、機(jī)器人學(xué)、機(jī)械工程、機(jī)電一體化、傳感器、電氣工程、電信、音頻、視頻,和具有眾多應(yīng)用的數(shù)字信號處理,例如雷達(dá)和聲納數(shù)據(jù)采集系統(tǒng)。因此,與分?jǐn)?shù)階控制系統(tǒng)方法組合的分?jǐn)?shù)標(biāo)度數(shù)字濾波器的增加的準(zhǔn)確性和精確度可以快速地加速多種領(lǐng)域中的技術(shù)開發(fā),從而在將來產(chǎn)生穩(wěn)健的解決方案。

計(jì)算機(jī)系統(tǒng)概述

參考圖8,示意性框圖說明用于實(shí)施本文中所描述的各種方法的示例性計(jì)算機(jī)系統(tǒng)800。示例性計(jì)算機(jī)系統(tǒng)800包含連接到系統(tǒng)總線830的一個或多個(硬件)微處理器(μp)810和對應(yīng)的(硬件)存儲器820(例如,隨機(jī)存取存儲器和/或只讀存儲器)??梢酝ㄟ^合適的橋接器850在系統(tǒng)總線830與總線840之間傳遞信息??偩€840用于與以下各項(xiàng)在外圍介接:一個或多個微處理器(μp)810,例如存儲裝置860(例如,硬盤驅(qū)動器);可移除媒體存儲裝置870(例如,快閃驅(qū)動器、dvd-rom驅(qū)動器、cd-rom驅(qū)動器、軟盤驅(qū)動器等);i/o裝置880(例如,鼠標(biāo)、鍵盤、監(jiān)視器、打印機(jī)、掃描儀等);以及網(wǎng)絡(luò)適配器890。通過說明的方式呈現(xiàn)外圍設(shè)備的以上列表,且不打算具限制性。其它外圍裝置可以適當(dāng)?shù)丶傻接?jì)算機(jī)系統(tǒng)800中。存儲器820、存儲裝置860、可插入到可移除媒體存儲裝置870中的可移除媒體或其組合可以用于實(shí)施本文陳述和描述的方法、配置、接口和其它方面。

微處理器810控制示例性計(jì)算機(jī)系統(tǒng)800的操作。另外,微處理器810中的一個或多個執(zhí)行計(jì)算機(jī)可讀代碼,所述計(jì)算機(jī)可讀代碼指令微處理器810實(shí)施本文的方法和過程。計(jì)算機(jī)可讀代碼可以存儲(例如)在存儲器820、存儲裝置860、可移除媒體存儲裝置870或可以由微處理器810存取的其它合適的有形存儲介質(zhì)中。存儲器820還可以充當(dāng)工作存儲器,(例如)以存儲數(shù)據(jù)、操作系統(tǒng)等。

本文的方法和過程可以實(shí)施為在計(jì)算機(jī)系統(tǒng)上執(zhí)行的機(jī)器可執(zhí)行方法,所述計(jì)算機(jī)系統(tǒng)例如為本文更全面地描述的處理裝置中的一個或多個。就此而言,本文的方法和過程可以在存儲機(jī)器可執(zhí)行程序代碼的計(jì)算機(jī)可讀存儲裝置(例如,計(jì)算機(jī)可讀存儲硬件)上實(shí)施,其中所述程序代碼指令處理器實(shí)施所描述的方法/過程。本文的方法和過程還可以由耦合到存儲器的處理器執(zhí)行,其中通過存儲在存儲器中的程序代碼對處理器進(jìn)行編程以執(zhí)行所描述的方法。

因此,示例性計(jì)算機(jī)系統(tǒng)800或其組件可以實(shí)施如本文更詳細(xì)地陳述的方法和計(jì)算機(jī)可讀存儲裝置。其它計(jì)算機(jī)配置也可以實(shí)施如本文更詳細(xì)地陳述的方法和計(jì)算機(jī)可讀存儲裝置??梢酝ㄟ^一種或多種編程語言的任何組合寫出用于實(shí)行本公開的各方面的操作的計(jì)算機(jī)程序代碼。程序代碼可以完全在計(jì)算機(jī)系統(tǒng)800上或部分在計(jì)算機(jī)系統(tǒng)800上執(zhí)行。在后一種情景中,遠(yuǎn)程計(jì)算機(jī)可以(例如)使用計(jì)算機(jī)系統(tǒng)800的網(wǎng)絡(luò)適配器890通過任何類型的網(wǎng)絡(luò)連接而連接到計(jì)算機(jī)系統(tǒng)800。

在實(shí)施本公開的計(jì)算機(jī)方面中,可以利用計(jì)算機(jī)可讀媒體的任何組合。所述計(jì)算機(jī)可讀媒體可為計(jì)算機(jī)可讀信號媒體、計(jì)算機(jī)可讀存儲介質(zhì),或其組合。另外,實(shí)際上可以將計(jì)算機(jī)可讀存儲介質(zhì)實(shí)施為一個或多個不同的媒體。

計(jì)算機(jī)可讀信號媒體本質(zhì)上是暫時性傳播信號。計(jì)算機(jī)可讀信號媒體可以包含其中體現(xiàn)的計(jì)算機(jī)可讀程序代碼,其(例如)作為基帶中的傳播的數(shù)據(jù)信號或作為載波的部分。然而,具體來說,計(jì)算機(jī)可讀信號媒體不包含計(jì)算機(jī)可讀存儲介質(zhì)。

計(jì)算機(jī)可讀存儲介質(zhì)是有形的裝置/硬件,其可以保持并且存儲程序(指令)以供指令執(zhí)行系統(tǒng)、設(shè)備或裝置(例如,計(jì)算機(jī)或本文更全面地陳述的其它處理裝置)使用或與其結(jié)合。值得注意的是,計(jì)算機(jī)可讀存儲介質(zhì)不包含計(jì)算機(jī)可讀信號媒體。因此,如本文所使用,計(jì)算機(jī)可讀存儲介質(zhì)將不被解釋為本質(zhì)上是暫時性信號,例如無線電波或通過傳輸媒體的其它自由傳播的電磁波。

計(jì)算機(jī)可讀存儲介質(zhì)的特定實(shí)例(非詳盡列表)包含以下各項(xiàng):硬盤、隨機(jī)存取存儲器(ram)、只讀存儲器(rom)、可擦除可編程只讀存儲器(eprom)、快閃存儲器、便攜式計(jì)算機(jī)存儲裝置、光學(xué)存儲裝置(例如,壓縮光盤只讀存儲器(cd-rom)或數(shù)字視頻盤(dvd)),或前述各者的任何合適的組合。具體來說,計(jì)算機(jī)可讀存儲介質(zhì)包含計(jì)算機(jī)可讀硬件,例如計(jì)算機(jī)可讀存儲裝置(例如,存儲器)。此處,計(jì)算機(jī)可讀存儲裝置和計(jì)算機(jī)可讀硬件是非暫時性的物理、有形的實(shí)施方案。

非暫時性意味著本質(zhì)上不同于將自然地停止存在的暫時性傳播信號,限定所要求保護(hù)的主體的計(jì)算機(jī)可讀存儲裝置或計(jì)算機(jī)可讀硬件的內(nèi)容會存留下去,直到受到外部動作作用為止。例如,加載到隨機(jī)存取存儲器(ram)中的程序代碼被認(rèn)為是非暫時性的,原因在于內(nèi)容將存留下去,直到(例如)通過斷電、通過覆寫、刪除、修改等進(jìn)行作用為止。

另外,因?yàn)橛布▽?yīng)計(jì)算機(jī)系統(tǒng)的物理元件或組件,所以硬件本質(zhì)上不包含軟件。

本文使用的術(shù)語是用于僅描述特定實(shí)施方式的目的,且不打算限制本公開。如在本文中所使用,除非上下文另外清楚地指示,否則希望單數(shù)形式“一”和“所述”也包含復(fù)數(shù)形式。將進(jìn)一步理解,術(shù)語"包括(comprises)"和/或“包括(comprising)”當(dāng)用于本說明書中時指定所陳述的特征、整體、步驟、操作、元件和/或組件的存在,但不排除一個或多個其它特征、整體、步驟、操作、元件、組件和/或其群組的存在或添加。

已經(jīng)出于說明和描述的目的呈現(xiàn)了本公開的描述,但是不打算是詳盡的或以所公開的形式受限于本公開。在不脫離本公開的范圍和精神的情況下,許多修改和變化對于本領(lǐng)域技術(shù)人員來說將顯而易見。

因此已經(jīng)詳細(xì)描述了本申請的公開內(nèi)容且通過參考其實(shí)施方式,將明白,在不脫離所附權(quán)利要求書中限定的本公開的范圍的情況下,可以進(jìn)行修改和變化。

當(dāng)前第1頁1 2 
網(wǎng)友詢問留言 已有0條留言
  • 還沒有人留言評論。精彩留言會獲得點(diǎn)贊!
1